在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2415|回复: 3

[求助] 一个具体问题:组合逻辑在CPLD中的现象(急!)

[复制链接]
发表于 2014-6-7 15:32:49 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教大家一个实际问题:
DS[1:0]是CPLD的两个输入信号,经过如下代码锁存:

always @(posedge Clk or negedge nReset)
begin
        if (!nReset) begin
                DSXout <= 1'b1;
                NDSX <= 1'b1;
                end
         else begin
                 NDSX <= & DS;
                DSXout <= NDSX;
                end
end
为何会在导入FPGA后的signaltap上看到如图1所示的情况??按照我的理解,虽然&DS作为组合逻辑可能有不稳定的地方,但也应该是竞争冒险那种情况、高低电平切换的边缘出问题??因为我在CPLD信号输入的源端用示波器点了,输入并没有毛刺(如图2,探头接地粗糙所以过冲大),那么该如何分析问题的来源,是逻辑写法问题还是CPLD管脚接触不良呢...求赐教!

下面两个相与得到上面的

下面两个相与得到上面的

示波器点的DS0和DS1波形

示波器点的DS0和DS1波形
发表于 2014-6-7 16:27:59 | 显示全部楼层
本帖最后由 Timme 于 2014-6-8 00:43 编辑

看错了,请无视本楼.....
发表于 2014-6-7 23:33:15 | 显示全部楼层
能再看看你的reset信号吗?如果复位没问题,那你就得对ds信号做处理了
发表于 2014-6-8 22:36:30 | 显示全部楼层
楼上正解,
你可以尝试一下,逻辑不用复位看看!
量一下复位信号的电压~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 09:01 , Processed in 0.023014 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表