在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1528|回复: 0

[求助] 用modelsim时序仿真乘法器时出现value out of range 的错误

[复制链接]
发表于 2014-5-17 12:20:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在ISE里面调用ip核产生了25*18的乘法器,2级流水,然后再modelsim里面仿真时出现如下问题:
** Fatal: (vsim-3421) Value 1095521093 for use_dport is out of range 0 to 1.
#    Time: 0 ps  Iteration: 0  Instance: /multi/\multi_1/blk00000003  File: D:/software/xilink/ISE_DS/ISE/vhdl/src/simprims/primitive/mti/X_dsp48E1.vhd Line: 503
# FATAL ERROR while loading design
# Error loading design


这是怎么回事啊?  我加载的库有simprim,unisim ,xilinxipcore-lib
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 04:48 , Processed in 0.022153 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表