在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1712|回复: 1

[讨论] 这个加法器什么意思?

[复制链接]
发表于 2014-3-19 08:43:13 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

entity adder1 is
  port(a,b:in signed(7 downto 0);
      clk,en:in std_logic;

result: buffer signed(7 downto 0));
end adder1;

architecture dataflow of adder1 is
begin
   process(clk,en,a,b)
    begin
       if(clk'event and clk='1'and en='1')then

       result<=a+b;

  if(a(a'left)=b(b'left))and result(result'left)/=a(a'left) then

    result<=(result'left=>a(a'left),


             others=>not a(a'left));


   end if;

    end if;

end process;
end dataflow;
 楼主| 发表于 2014-3-19 08:45:26 | 显示全部楼层
如果a,b的左端都为1那么结果为100 0000  ?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 12:18 , Processed in 0.018934 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表