在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5429|回复: 10

[求助] cadence中数字电路描述语言问题?

[复制链接]
发表于 2014-3-5 16:41:28 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教大家一个问题,在cadence中数字电路描述语言一般是verilogA,
这个语言好像与VERILOG不一样,
如何用verilogA实现D触发器呢?
另外在联合仿真的时候如何给D触发器加电压呢?
发表于 2014-3-6 10:22:51 | 显示全部楼层
verilog a 是模拟用的吧
 楼主| 发表于 2014-3-6 10:48:19 | 显示全部楼层
回复 2# flyelectron


    那如何在SPECTRe实现数字模拟混合仿真呢
发表于 2014-3-7 03:56:53 | 显示全部楼层
可以实现的,你可以网上搜code。
example:
module V_d_ff(q, qbar, clk, d);
input clk,d;
output q,qbar;
voltage q, qbar, clk, d;
parameter real tdelay   = 5n from [0:inf),
                ttransit = 5n from [0:inf),
                vout_high = 5,
                vout_low  = 0 from (-inf:vout_high),
                vth       = 1.4;

integer x;
analog
begin
   @(initial_step) x = 0;

   @(cross(V(clk) - vth, +1 )) x = (V(d) > vth);

   V(q)    <+ transition( vout_high*x  + vout_low*!x, tdelay, ttransit );
   V(qbar) <+ transition( vout_high*!x + vout_low*x,  tdelay, ttransit );
end
endmodule
 楼主| 发表于 2014-6-16 09:28:40 | 显示全部楼层
回复 4# rockforever


    非常感谢您!
如果要再DFF中加清零信号clr呢?
该怎么设 ?
发表于 2014-6-16 23:26:16 | 显示全部楼层
回复 5# confiope

这个实现起来同verilog很像,你该去看看基本的veriloga的语法。依葫芦画瓢就能实现。
发表于 2020-10-20 16:07:54 | 显示全部楼层
你好,我想问一下,在进行数字电路仿真的时候,保存时出现了路径错误是什么原因,您有遇到吗?
cannot find x mvlog executable from your path.please update your path to point to the corrent executable  or use vmsNcvlogExecutable variable to specify the excutable to use.
发表于 2021-8-12 15:57:21 | 显示全部楼层


more-bug 发表于 2020-10-20 16:07
你好,我想问一下,在进行数字电路仿真的时候,保存时出现了路径错误是什么原因,您有遇到吗?
cannot find ...


你好,我也遇到了同样的问题,请问你的解决了吗?
发表于 2022-4-6 11:12:21 | 显示全部楼层


suiying413 发表于 2021-8-12 15:57
你好,我也遇到了同样的问题,请问你的解决了吗?


同问解决了没有
发表于 2023-4-7 09:27:57 | 显示全部楼层


caojia 发表于 2022-4-6 11:12
同问解决了没有


同问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 08:05 , Processed in 0.031703 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表