在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5274|回复: 4

[求助] 后仿真,sdf中的timing check 没效果,求指点

[复制链接]
发表于 2014-1-20 10:38:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
*Novas* End of traversing the scope(tb_chip)
               driver initialization ok!
Doing SDF annotation ...... Done
Test begin!
*******************************************************************
the testname is 00000003

*******************************************************************
theHH BTA_END is            163122300


"/project/StdCell_lib/ush130h32sc_2013q2_v3r3/verilog/ush130h32sc.v", 4437: Timing violation in tb_chip.U_impro.U_ddr3_top.U_tx_phy.data_out_n_reg
    $setuphold( posedge CK &&& (flag == 1'b1):177498792, posedge D:177498700, limits: (100,50) );


"/project/StdCell_lib/ush130h32sc_2013q2_v3r3/Verilog/ush130h32sc.v", 4438: Timing violation in tb_chip.U_impro.U_ddr3_top.U_tx_phy.cmd_out_n_reg
    $setuphold( posedge CK &&& (flag == 1'b1):177498792, negedge D:177498700, limits: (100,50) );


"/project/StdCell_lib/ush130h32sc_2013q2_v3r3/Verilog/ush130h32sc.v", 4437: Timing violation in tb_chip.U_impro_chip.U_ddr3_top.U_tx_phy.div_en_cnt_reg_1_
    $setuphold( posedge CK &&& (flag == 1'b1):177498792, posedge D:177498700, limits: (100,50) );

以上是simulation 中的warning

----------------------------------------------------------------------------------------------------------------
  (CELL
    (CELLTYPE  "DFFRDL")
    (INSTANCE  U_tx__phy/data_out_n_reg)
      (DELAY
        (ABSOLUTE
        (IOPATH RB Q  () (0.997::0.997))
        (IOPATH RB QB  (0.884::0.884) ())
        (IOPATH (posedge CK) Q  (1.229::1.229) (0.848::0.848))
        (IOPATH (posedge CK) QB  (0.736::0.736) (1.123::1.123))
        )
      )
      (TIMINGCHECK
        (WIDTH (negedge RB) (::1.687))
        (WIDTH (posedge CK) (::0.375))
        (WIDTH (negedge CK) (::0.544))
        (SETUPHOLD (posedge D) (posedge CK) (::0.271) (::-0.232))
        (SETUPHOLD (negedge D) (posedge CK) (::0.208) (::-0.038))
        (RECREM (posedge RB) (posedge CK) (::0.315) (::-0.278))
      )
  )

这个是sdf 中对应的Timingcheck 部分。


我从simulation  的  warning (红色部分)中觉得sdf的timing check 没效果,好像有的是仿真库文件中的值 ,但是编译过程sdf 反标的log中没有看到error, 也显示了反标结束, sdf 反标的warning中没有关于上面几个寄存器的内容。 我第一次搭建后仿真环境,不知道有什么地方出了问题,希望各位大神指点一下
反标过程中的warning,基本上是 negtive value cannot handle by the switch -negdelay
 楼主| 发表于 2014-1-20 10:49:46 | 显示全部楼层
(CELL
  (CELLTYPE "DFFRDL")
  (INSTANCE U_tx_lp_phy/data_out_n_reg)
  (DELAY
    (ABSOLUTE
    (IOPATH CK Q (1.153::1.153) (0.773::0.773))
    (IOPATH CK QB (0.674::0.674) (1.061::1.061))
    (IOPATH RB Q () (1.024::1.035))
    (IOPATH RB QB (0.924::0.935) ())
    )
  )
  (TIMINGCHECK
    (WIDTH (negedge CK) (0.559::0.559))
    (WIDTH (posedge CK) (0.342::0.342))
    (WIDTH (negedge RB) (1.875::1.935))
    (SETUP (posedge D) (posedge CK) (0.273::0.361))
    (SETUP (negedge D) (posedge CK) (0.260::0.296))
    (SETUP (posedge RB) (posedge CK) (0.391::0.401))
    (HOLD (posedge D) (posedge CK) (-0.272::-0.228))
    (HOLD (negedge D) (posedge CK) (-0.114::-0.084))
    (HOLD (posedge RB) (posedge CK) (-0.359::-0.351))
  )
)
这是我重新提的一个sdf ,问题依旧
发表于 2014-2-28 16:46:54 | 显示全部楼层
仿真中的timing violation,可以检查一下是不是由false path造成的,如果是,加入仿真的notiming check列表即可。sdf反标能不能标上可以看下特定寄存器的延时,对比sdf文件的timing信息。
发表于 2014-3-1 02:04:36 | 显示全部楼层



if this path is actually crossing two clock domains, we should really care about this timing violation. you will probably miss this issue using no-timing check, right?
发表于 2016-5-11 20:29:03 | 显示全部楼层
“”反标过程中的warning,基本上是 negtive value cannot handle by the switch -negdelay  “”这种warning不需要关注吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 06:06 , Processed in 0.032985 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表