在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3149|回复: 6

[求助] 请教一个questasim的使用问题,关于$finish

[复制链接]
发表于 2013-12-19 21:30:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
questasim仿真中遇到$finish时,我想让questasim不跳出是否退出的窗口,并且也


不直接退出questasim软件,请问能做到吗?谢谢,非常感谢。
我想实现在questasim中自动执行几个.do文件,当执行完一个case后,有$finish就


完成,但是不跳出询问“是否完成”的窗口,也不直接退出questasim,直接执行下


一个case,一直到执行完成,谢谢。非常感谢。
发表于 2013-12-20 11:22:21 | 显示全部楼层
$stop.......
 楼主| 发表于 2013-12-20 20:38:46 | 显示全部楼层
$finish是uvm中的东西,系统中的东西,我们写case的人,一般不能修改。还是感谢。
发表于 2014-1-8 16:39:55 | 显示全部楼层
请问楼主这个问题解决了吗?我现在也有相同的困惑
 楼主| 发表于 2014-1-11 23:01:23 | 显示全部楼层
回复 4# guiwn


   没有啊,等高人回答啊。
发表于 2014-2-21 13:36:37 | 显示全部楼层
在modelsim中也遇到这样的问题,lz解决了说一下啊,感谢
发表于 2020-3-4 10:25:48 | 显示全部楼层
OnFinish
Section [vsim]
This variable controls the behavior of Questa SIM when it encounters either an assertion failure,
a $finish, or an sc_stop() in the design code.
Syntax
OnFinish = {ask | exit | final | stop}
Arguments
• The arguments are described as follows:
o ask — (default) In batch mode, the simulation exits. In GUI mode, a dialog box pops
up and asks for user confirmation on whether to quit the simulation.
o stop — Causes the simulation to stay loaded in memory. This can make some postsimulation
tasks easier.
o exit — The simulation exits without asking for any confirmation.
o final — The simulation executes all final blocks then exits the simulation.
You can override this variable by specifying vsim -onfinish.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 04:28 , Processed in 0.025480 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表