在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1612|回复: 0

[求助] 关于assertion如何书写一段区间来做范围表达式的问题

[复制链接]
发表于 2013-8-27 14:27:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 sages 于 2013-8-27 14:28 编辑

AAAAAA.png
如上图所示。现在想做如下的assertion:在任意两个如图中虚线(一根黄色,一根白色)所框住的区间内,其中白色那根虚线所表示的位置是第二行信号脉冲所在的位置,可能有些看不清楚。
我之前想表示这种区间是利用如下的代码:




  1. sequence test;
  2.   $fell(A) ## [1:$] $rose(B);
  3. endsequence : test


复制代码



想做的assertion为,在第三行信号的脉冲必须发生在所标注的区间内。且每次这个脉冲都必须满足这个区间的限定要求。
之前的代码是如下书写:




  1. property temp;
  2.    @(posedge ckqread)
  3.    $fell(A)  |-> ( ##[0:$] $rose(C) ) within (test);endproperty


复制代码



但是我发现,这样写之后,只会执行一次assertion,且执行位置在满足该assertion事件的最后一次发生的时刻。
想请问各位两个问题:
1、为什么会这样;
2、怎么书写相应要求的assertion
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条


小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-15 10:51 , Processed in 0.018048 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表