在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7889|回复: 5

[求助] UVM代码编译错误

[复制链接]
发表于 2013-7-18 17:35:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
刚接触UVM,跑了下例子的代码,用VCS编译时提示错误:Error-[SE] Syntax error
  Following verilog source has syntax error :
  "./src/my_agent.sv", 5: token is 'uvm_agent'
  class my_agent extends uvm_agent;


不知什么原因。哪位给解说下把,谢谢!
发表于 2013-7-22 09:58:12 | 显示全部楼层
这句话如果你没打错字母的话,是没有错的,有的时候找错误不一定是从他提示的句子中找,可以找找上文是不是有出错的地方。
发表于 2013-7-22 13:41:50 | 显示全部楼层
我觉得是你没有引用uvm的package
发表于 2013-7-23 13:17:48 | 显示全部楼层
发表于 2013-7-25 17:28:33 | 显示全部楼层
如果是vcs,确保 -ntb_opts uvm,且在top加上
import uvm_pkg::* ;
`include "uvm_macros.svh"

如此,error可消
发表于 2013-7-30 14:21:30 | 显示全部楼层
不懂         ...
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 16:01 , Processed in 0.030046 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表