在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3856|回复: 2

[求助] spartan6 MCB时序仿真 问题求助

[复制链接]
发表于 2013-7-3 16:00:29 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
现在正在用spartan6 xc6xls45配置DDR2内存,根据UG388,配置成DDR2 400,6个32bit port,其中p2用来写,p3用来读,其它端口不用。完后建立顶层文件调用IP核使用,已经实现了功能仿真,读出来的数据跟写入一样。
但是进行时序仿真时,碰见一个问题,DQS和UDQS信号不对,前两个数据是写不进去DDR2的,仿真错误信息如下:
sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 702937866.0 ps ERROR: DQS bit 0 latching edge required during the preceding clock period

sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 702937866.0 ps ERROR: DQS bit 1 latching edge required during the preceding clock period

sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 702937866.0 ps ERROR: DQS_N bit 0 latching edge required during the preceding clock period

sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 702937866.0 ps ERROR: DQS_N bit 1 latching edge required during the preceding clock period

sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 702937866.0 ps INFO: WRITE @ DQS= bank = 0 row = 0000 col = 00000000 data = xxxx

sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 702940305.0 ps ERROR: DQS bit 0 latching edge required during the preceding clock period.

sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 702940305.0 ps ERROR: DQS bit 1 latching edge required during the preceding clock period.

sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 702940305.0 ps ERROR: DQS_N bit 0 latching edge required during the preceding clock period.

sim_tb_top.\MEM_INST3.u_mem_c3 .data_task: at time 702940305.0 ps ERROR: DQS_N bit 1 latching edge required

during the preceding clock period.



断开对P2 P3端口的多有操作信号,还是出现这个情况,迷茫了,DQS和UDQS应该是硬核产生的信号,我还能怎样去控制呢? 1.jpg

这个是时序仿真的局部截图,很明显DQS信号少了一个周期

2.jpg
2.jpg
发表于 2013-7-5 11:47:09 | 显示全部楼层
直接下载到FPGA芯片中实验把,没有做过DDR2的时序仿真
 楼主| 发表于 2013-7-17 09:00:00 | 显示全部楼层
现在等板子到手,上板验证之后,再来回复
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 10:11 , Processed in 0.026764 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表