在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 14555|回复: 11

[求助] 请教综合约束create_generated_clock问题

[复制链接]
发表于 2013-7-1 16:19:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
设计中有一个源时钟clk1,以及2、4、8分频时钟clk_div2,clk_div4,clk_div8,而真正使用的时钟clk是2、4、8分频时钟选出来的,选择信号则是在clk_div8时钟域的。

请问,如何用create_generated_clock约束真正使用的时钟clk呢?

bow~
 楼主| 发表于 2013-7-1 16:59:57 | 显示全部楼层
回复 1# temll


是否可以直接这样约束,

create_clock [get_ports clk1] -name clk1 -p 100

create_generated_clock [get_pins clk] -name clk -source [get_ports clk1] -divide_by 2 -master_clock clk1

但是这种约束无法体现4、8分频的时钟情况。

还是需要使用-add选项分别定义2、4、8分频的时钟,例如下面?

create_clock [get_ports clk1] -name clk1 -p 100

create_generated_clock [get_pins clk] -name clkdiv2 -source [get_ports clk1] -divide_by 2 -master_clock clk1 -add
create_generated_clock [get_pins clk] -name clkdiv4 -source [get_ports clk1] -divide_by 4 -master_clock clk1 -add
create_generated_clock [get_pins clk] -name clkdiv8 -source [get_ports clk1] -divide_by 8 -master_clock clk1 -add

可是这样约束,对于同一个source上命名不一样的三个时钟clkdiv2, clkdiv4, clkdiv8再如何处理呢?直接放到一个group里就可以吗?
发表于 2013-7-2 07:40:23 | 显示全部楼层
定义源时钟clk1和分频时钟clk_div2就可以了
发表于 2013-7-2 08:21:32 | 显示全部楼层
麻烦一点 写3个sdc,分别用2种分频检查下时序。
发表于 2013-7-2 08:24:28 | 显示全部楼层
发表于 2013-7-2 09:15:22 | 显示全部楼层


版主的做法是不是有一点风险呢? 如果他的3个分频时钟有不同的路径?
ww6501 发表于 2013-7-2 08:24




    那是他要保证不会发生的,不然就是他的描述不完整
 楼主| 发表于 2013-7-2 10:22:33 | 显示全部楼层


那是他要保证不会发生的,不然就是他的描述不完整
陈涛 发表于 2013-7-2 09:15




多谢版主指点。附一个图,说得清楚一些。源时钟是clk1,最终使用的时钟是clk和clk2.

时钟结构.JPG

你的意思是我需要约束好clk1,clk2,并将clk设为2分频就可以了是吗?

create_clock [get_ports clk1] -name clk1 -p 100
create_generated_clock [get_pins clk2] -name clk2 -source [get_ports clk1] -divide_by 8 -master_clock clk1
create_generated_clock [get_pins clk] -name clk -source [get_ports clk1] -divide_by 2 -master_clock clk1
发表于 2013-7-2 10:55:57 | 显示全部楼层
本帖最后由 陈涛 于 2013-7-2 10:57 编辑

差不多是这样,读入sdc后,用check_timing看看还有什么FF没有clock的,再加上去
如果有clock,path与设定的不符,在mux的sel端加set_case_analysis
 楼主| 发表于 2013-7-2 11:25:57 | 显示全部楼层


差不多是这样,读入sdc后,用check_timing看看还有什么FF没有clock的,再加上去
如果有clock,path与设定的 ...
陈涛 发表于 2013-7-2 10:55




    好的,多谢版主!
发表于 2013-7-16 11:54:24 | 显示全部楼层
没明白啊,clk为什么约束为2分频啊?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-21 22:13 , Processed in 0.033703 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表