在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: suns

[重要更新][Quartus II][13.0正式版][Windows版和Linux版本破解]

[复制链接]
发表于 2013-7-26 18:48:17 | 显示全部楼层
license.dat修改完后还是不能破解,不知道什么情况?
发表于 2013-7-27 11:27:07 | 显示全部楼层
感谢楼主共享
发表于 2013-7-28 10:13:47 | 显示全部楼层
为什么我没有破解成功啊???Error (119013): Current license file does not support the EP1C6Q240C8 device
发表于 2013-7-29 08:30:49 | 显示全部楼层
一下子跳过吧12,拖了时代的后腿啊
发表于 2013-7-29 15:57:44 | 显示全部楼层
楼主您好,你这个winndow版本已经可以使用了(quartus modelsim)

linux版本的quartus也可以使用,但是modelsim无法使用,,如下log信息:
Unable to find the license file.  It appears that your license file environment variable (e.g., LM_LICENSE_FILE) is not set correctly.
Unable to checkout a license.  Vsim is closing.
** Fatal: Invalid license environment. Application closing.


问题,个人感觉在使用windos下的modelsim也没有安装license,仅仅安装了quartus的license, modelsim可以使用,为啥linux下的modelsim不可使用了? 还是我这里缺少啥东西?
发表于 2013-7-30 22:01:15 | 显示全部楼层
好东西~~~~~~~~
发表于 2013-8-1 14:06:57 | 显示全部楼层
回复 1# suns
   感谢楼主
发表于 2013-8-2 22:40:15 | 显示全部楼层
谢谢共享~~~
发表于 2013-8-3 05:21:43 | 显示全部楼层
多谢老大!
发表于 2013-8-3 16:07:15 | 显示全部楼层
好东西~~~~~~~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 19:27 , Processed in 0.029340 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表