在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9521|回复: 12

[求助] DC和PT时序分析不一样

[复制链接]
发表于 2013-4-19 16:09:49 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 husthuige 于 2013-4-19 16:14 编辑

由于课程作业要求,小弟最近在跑IC设计的流程,在做到DCPT阶段的时候,遇到了一些问题。

1.首先,关于DC之后是否要使用PT的问题。小弟也看了不少的资料,很多资料写到DC之后是要做PT的,这个称之为pre_layout STA。但是我又在eetop上看到好多人说DC之后没有必要做PT。所以,我想问的是,到底要不要?

2.我假设DC之后需要做PT,就像很多教程上面说的一样,具体步骤如下:

.读入DC综合之后的网表文件(.v),并设置current_design

.读入DC综合之后的时序约束文件.sdc

.report_timing得到想要的时序信息

那么,按道理说,时序约束一样的话,在pre_layout阶段两者的时序报告文件应该没有太大的差异。但是我按照上面的步骤跑完PT之后,得到的时序文件和DC的差异很大,具体如下:

时序约束文件为:

2013-04-19_154703.png

.synopsys_dc.setup.synopsys_pt.setup中关于

search_pathtarget_library link_library symbol_library 等都是设置一样的


然后两者得出的报告文件如下:

DC中报告如下(路径较长,只显示部分),data arrive time 2.50 data required time3.04

显示setup时间是没有违背的

2.png

3.png



PT报告如下(路径较长,只显示部分),data arrive time 7.90 data required time3.03

显示setup时间是有违背的,而且还特别的大

4.png


5.png

虽然两者的路径是不一样的,但是也不至于差别这么大吧?不过仔细观察报告文件可以看出是因为capacitancetransition_time不一样引起的,PT中的这这两个值比DC中的大得多,不知道这个是什么原因啊?两者设置的工艺库文件都是一样的啊?百思不得其解,望大神帮忙!!



2013-04-19_154703.png
发表于 2013-4-21 16:30:28 | 显示全部楼层
辉哥????
发表于 2013-4-21 20:55:23 | 显示全部楼层
DC和PT报出来的明显不是同一条path 没有任何比较性 有vio的地方  是faout过大造成的 由于这个米有layout,那只要把fanout的问题解决 就可以修掉的   第一个问题 站在后端的角度看 这个pre layoutPT是完全没有必要做的
发表于 2015-7-8 14:31:34 | 显示全部楼层
回复 3# strivenbu


    请教楼主个问题,本人是刚学习DC,怎么把报告窗口化您知道吗?在原先公司中source tools/procs.tcl文件就行,但是现在换个工作环境,为何不行了呢。。。  v report_timing 任何提示没有,求解啊。。。。
发表于 2015-7-9 11:49:34 | 显示全部楼层
你把arrival time为7.9ns的路径再截来看看,图片被论坛log挡住了。我加了一下也没有发现你的arrival time有7.9ns啊~~~~
发表于 2015-8-19 14:48:30 | 显示全部楼层
回复 3# strivenbu


   完全没有必要做?那一般什么时候需要用到PT呢,我也是初学者,希望大神多多赐教!!!
发表于 2015-12-15 22:35:05 | 显示全部楼层
可能是工具算法不同使dc和pt结果不同
发表于 2015-12-16 09:07:37 | 显示全部楼层
PT是专业STA signoff的工具,使用的算法肯定更精确,而DC,ICC用的算法不够精确,会出现在DC 、ICC报告出的时序不同
发表于 2015-12-16 15:21:08 | 显示全部楼层
一般来说做了会好点,反正也不是很麻烦
毕竟post layout还是要用pt来看timing,pre layout先用pt过一次
假如有问题也好做比较
发表于 2016-6-21 20:01:51 | 显示全部楼层
顶贴赚积分
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 01:32 , Processed in 0.030648 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表