在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9171|回复: 3

[求助] modelsim模拟时出现# Error loading design问题

[复制链接]
发表于 2013-2-2 23:50:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

错误

错误

module mealy_fsm1(clk, Bin, Bout);
input clk, Bin;
output Bout;
reg q0, q1, q2;

always @(posedge clk)begin
q0<=q1_bar;
q1<=q0;
q2<=~((~(q1_bar&q0_bar&Bin))&(~(q2_bar&q0&(~Bin)))&(~(q2&q1&q0)));
end
wire q0_bar = ~q0;
wire q1_bar = ~q1;
wire q2_bar = ~q2;
assign Bout = ~((~(q2_bar&(~Bin)))&(~(q2&Bin)));
endmodule


模拟时就出现下列问题了
# Reading D:/Program Files/altera/11.0/modelsim_ase/tcl/vsim/pref.tcl
# vsim +altera -do mealy_fsm1_run_msim_rtl_verilog.do -l msim_transcript -gui Files/altera/11.0/modelsim_ase/win32aloem/vish.exe\}
# Error loading design

我用quartus2编译后进行用modelsim进行模拟就出现了这个问题,折腾了一天实在是没办法了,请各位大神帮帮忙,我自己感觉是软件设置的问题,试验了其他的代码也是不行。
发表于 2013-8-25 12:06:53 | 显示全部楼层
你的测试文件呢?
发表于 2013-12-8 13:07:50 | 显示全部楼层
把文件完整贴一下。
还有,直接用modelsim建立工程仿真试一下
发表于 2014-12-13 10:51:23 | 显示全部楼层
还是你编的程序有问题,虽然编译器认为没问题了,但是你仔细检查还是会发现问题的,我也遇到了类似的问题,但是仔细检查之后确实发现了一些问题,改完之后就好了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 19:00 , Processed in 0.019713 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表