在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!)    创芯人才网--重磅上线啦!
查看: 1968|回复: 2

writing-an-alsa-driver

[复制链接]
发表于 2006-12-26 10:36:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
writing-an-alsa-driver

writing-an-alsa-driver.pdf

714.5 KB, 下载次数: 13 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2007-9-25 15:23:54 | 显示全部楼层
好,谢谢了
发表于 2012-5-15 19:12:44 | 显示全部楼层
SOC_CARD层:
一、静态定义一个snd_soc_card实例xxx_soc_card:
      struct  snd_soc_card  xxx_soc_card = {
        .name = “xxx”,
        .dai_link = &xxx_dai_link[0],
        .num_links = ARRAY_SIZE(xxx_dai_link),
     };
二、定义一个snd_soc_dai_link实例xxx_dai_link:
    struct  snd_soc_dai_link  xxx_dai_link[] = {
{
        .name = “Codec Name”,
        .stream_name = “Stream Name”,
        .cpu_dai_name = “Cpu DAI Name”,
        .platform_name = “Platform Name”,
        .codec_dai_name = “Codec DAI Name”,
        .codec_name = “Codec Name”,
        .ops = &xxx_dai_link_ops,
        .init = xxx_dai_init,  //init(struct snd_soc_pcm_runtime  rtd)
    },
   {
         ... ...
    },
};
三、定义platform/machine kcontrol/widget/route 以及 xxx_dai_init()函数:
    1、根据需要,静态地定义kcontrol/widget/route等;
    2、定义xxx_dai_init():
    static int xxx_dai_init(struct snd_soc_pcm_runtime * rtd)
{
  // TODO :从rtd得到codec,从codec得到dapm context,
                  将上面定义的kcontrol/widget/route,关联到codec 的dapm context
   return 0;
}
四、定义xxx_dai_link_ops:
      struct  snd_soc_ops  xxx_dai_link_ops = {
        .startup =
        .shutdown =
        .prepare =
        .trigger =
        .hw_free =
        .hw_params = xxx_hw_params,
};
五、实现xxx_hw_params():
   static  int  xxx_hw_params(struct  snc_pcm_substream * substream ,
                        struct snd_pcm_hw_params *params)
{
//TODO :根据substream得到rtd,由rtd得到cpu_dai和codec_dai,设置两个dai的格式、时钟等

return 0;
}
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 06:36 , Processed in 0.020112 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表