在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3422|回复: 3

[求助] UVM 运行错误

[复制链接]
发表于 2012-10-22 22:32:27 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教使用VCS UVM运行错误:
_vcsobj_1_1.o: In function `F_VCSgd_uvm_pkg_R4kEp_1_878_0':
(.text+0x63f7d): undefined reference to `uvm_dpi_get_next_arg_c'
_vcsobj_1_1.o: In function `F_VCSgd_uvm_pkg_R4kEp_1_988_0':
(.text+0x74b4c): undefined reference to `uvm_glob_to_re'
_vcsobj_1_1.o: In function `F_VCSgd_uvm_pkg_R4kEp_1_990_0':
(.text+0x74daf): undefined reference to `uvm_re_match'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_1010_0':
(.text+0x77ed7): undefined reference to `uvm_glob_to_re'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_1010_0':
(.text+0x77f4d): undefined reference to `uvm_re_match'
_vcsobj_1_1.o: In function `F_VCSgd_uvm_pkg_R4kEp_1_1562_0':
(.text+0xc8883): undefined reference to `uvm_glob_to_re'
_vcsobj_1_1.o: In function `F_VCSgd_uvm_pkg_R4kEp_1_1562_0':
(.text+0xc88f9): undefined reference to `uvm_re_match'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_1567_0':
(.text+0xc943d): undefined reference to `uvm_dpi_regcomp'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_1567_0':
(.text+0xc9662): undefined reference to `uvm_dpi_regexec'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_1567_0':
(.text+0xc9b9c): undefined reference to `uvm_dpi_regfree'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_2795_0':
(.text+0x15285d): undefined reference to `uvm_glob_to_re'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_2795_0':
(.text+0x1528e2): undefined reference to `uvm_re_match'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_2800_0':
(.text+0x153f28): undefined reference to `uvm_glob_to_re'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_2800_0':
(.text+0x153fad): undefined reference to `uvm_re_match'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_3117_0':
(.text+0x17c74c): undefined reference to `uvm_hdl_check_path'
_vcsobj_1_1.o: In function `T_VCSgd_uvm_pkg_R4kEp_1_3122_0':
(.text+0x17ce9e): undefined reference to `uvm_hdl_check_path'
_vcsobj_1_1.o: In function `F_VCSgd_uvm_pkg_R4kEp_1_3127_0':
(.text+0x17d2b1): undefined reference to `uvm_hdl_read'

请问是我漏掉了什么吗?

UVM_HOME已经设置,编译可以通过,可是运行的时候报错。谢谢!
 楼主| 发表于 2012-10-22 23:25:38 | 显示全部楼层
Already fixed. It's because of the HOME setting.

Thanks!
发表于 2013-3-28 20:30:57 | 显示全部楼层
回复 2# hardstone_china


   Hi 这个问题是怎么fix的  ?我也碰到了这个问题 着急解决  ,Thanks!
发表于 2013-3-28 20:37:33 | 显示全部楼层
回复 2# hardstone_china


   Hi这个问题是怎么fix的,多谢!急用
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 12:21 , Processed in 0.026821 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表