在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2837|回复: 2

[求助] Quartus II中的LPM_compare功能块

[复制链接]
发表于 2012-10-9 10:31:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟现在正在用QuatusII 中的LPM_comare功能块,但是苦于数据输入口的位数不能修改,比如:dataa【31:0】想改成dataa【9:0】怎么修改?请各位前辈不吝赐教!谢谢了!
发表于 2012-10-9 11:11:52 | 显示全部楼层
未命名.jpg
 楼主| 发表于 2012-10-9 11:31:05 | 显示全部楼层
回复 2# fei_yang


    谢谢!非常感谢!我刚才建错块了,建成ALTFP_compare了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 06:55 , Processed in 0.019674 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表