在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2351|回复: 5

[求助] vhdl中的循环语句能否被综合

[复制链接]
发表于 2012-8-30 09:51:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
vhdl中的想loop语句能否进行综合下载实现
发表于 2012-8-31 00:52:22 | 显示全部楼层
要具体情况具体分析吧。大部分情况下是可以的。
发表于 2012-8-31 09:20:22 | 显示全部楼层
只要你的用法对,就可以。
发表于 2012-8-31 09:40:59 | 显示全部楼层
例如:
for i in 0 to 10 loop
  A[i] <= B[i] and C[i];
end loop;
就可以。但是需要知道i的范围必须是固定值,不能是变量,就可以。另外好像语句不能调用过程,在for loop里面调用过程也不能综合!
发表于 2012-8-31 21:39:54 | 显示全部楼层
用generate可以调用过程吧
发表于 2012-8-31 23:23:43 | 显示全部楼层
大部分情况是可以的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 17:37 , Processed in 0.021149 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表