在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3864|回复: 5

[原创] 关于从ISE中直接调用modelsim的问题

[复制链接]
发表于 2012-7-22 08:53:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
hi:

    诸位,我从ISE中直接调用modelsim来仿真,可是在ISE中显示出来的错误时:Failed to map the library!,但是modelsim还是可以启动,我就不明白

了?这个Failed to map the library是什么原因造成的啊?有遇到类似情况的帮忙解答下哈!
发表于 2012-7-22 17:14:10 | 显示全部楼层
小白一个,刚接触FPGA,学习中
发表于 2012-7-22 20:57:11 | 显示全部楼层
ini文件指定的仿真库找不到吧?
 楼主| 发表于 2012-7-22 21:32:59 | 显示全部楼层
哈哈,重新装了ISE和modelsim,问题解决啦!
发表于 2016-2-18 12:42:47 | 显示全部楼层
我也遇到了同样的问题。
发表于 2016-3-11 20:44:30 | 显示全部楼层
把ISE安装文件夹中bin文件夹有个nt子文件夹,里面的modelsim.ini文件中有一段库地址的代码,拷到modelsim安装文件夹的bin文件夹下modelsim.ini文件中就行了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 20:25 , Processed in 0.022162 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表