在线咨询 切换到宽版
eetop公众号 创芯大讲堂 创芯人才网

 找回密码
 注册

手机号码,快捷登录

手机号码,快捷登录

搜全文
查看: 21128|回复: 15

[活动] 每日一题0628——基本概念分析

[复制链接]
发表于 2012-6-28 15:31:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

×
本帖最后由 vipjph 于 2012-6-30 09:47 编辑

(1)时序逻辑的时序约束有哪些?
(2)verilog实现10进制计数器.
(3)verilog实现上升沿检测电路。

欢迎大家积极回帖讨论,最佳答案者30信元奉上~~~
最佳答案明天揭晓~~

--------------------------------------------答案揭晓----------------------------------------
谢谢大家的参与。现将答案整理如下:


(1)时钟频率,占空比,时钟的transition,latency,uncertainty,组合逻辑延迟时间;
       input/output delay
       max transition/cap/load
       min/max delay
       false path
       multi cycle
(2)见8L、9L
以上答案均来源于网络及回帖整理,如感觉答案不妥,欢迎批评指正~~
发表于 2012-6-28 16:10:41 | 显示全部楼层
(1)时序逻辑的时序约束有哪些?时钟频率,周期,占空比,上升沿和下降沿时间,跨时钟的保持时间。我只知道这些。
回复 支持 反对

使用道具 举报

发表于 2012-6-28 16:16:25 | 显示全部楼层
回复 1# vipjph

(2)10进制计数器.请问问点在哪?(3)是基本概念么?无论哪种语言缓存两级就可以判断上升沿啊。VHDL的双进程程序风格v.clk和r.clk更容易理解。
对么?请大侠指导。
回复 支持 反对

使用道具 举报

发表于 2012-6-28 19:28:04 | 显示全部楼层
建议楼主可以拿些具体的例子来分析一下,有助新人提高
回复 支持 反对

使用道具 举报

 楼主| 发表于 2012-6-28 21:46:22 | 显示全部楼层
回复 4# gmm.dl.cn


    该板块的宗旨是给出求职过程中的常用面试笔试问题,主要面向应届生,这些题目都是笔试过程中的常见题型了~。
回复 支持 反对

使用道具 举报

发表于 2012-6-28 21:59:41 | 显示全部楼层
(1):
create_clock(@input ports)
create_generated_clock(@PLLs, registers, output ports)
set_clock_latency (-source/default=network, before CTS)
set_clock_uncertainty(for jitter with pessimism/skew before CTS)
set_propagated_clock(after CTS)
set_timing_derate(for OCV)
set_clock_gaiting_check(-setup/-hold)
set_input_delay(-min/-max)
set_output_delay(-min/-max)
set_clock_groups(-asynchronous/-exclusive)
set_min/max_delay(for combinatorial)
set_disable_timing(for timing arc breaking)
set_data_check(for CDC bus)

&MMMC commands(Multi-Mode for dft/function, Multi-Corner for OCV)
回复 支持 反对

使用道具 举报

发表于 2012-6-28 22:31:02 | 显示全部楼层
本帖最后由 vipjph 于 2012-6-30 09:26 编辑

verilog 实现10进制计数器


   

        

                
  1. module counter_10(clk,
  2. rst,
  3. cnt
  4. );
  5. input clk,rst;
  6. output [3:0] cnt;
  7. reg [3:0] cnt;

  8. always @(posedge clk or negedge rst)
  9. begin
  10. if(!rst)
  11. cnt<=0;
  12. else begin
  13. if(cnt==4'd9)
  14. cnt<=0;
  15. else
  16. cnt<=cnt+1'b1;
  17. end
  18. end
  19. endmodule
            

   

    复制代码
回复 支持 反对

使用道具 举报

发表于 2012-6-28 22:42:16 | 显示全部楼层
本帖最后由 vipjph 于 2012-6-30 09:29 编辑

verilog实现上升沿检测电路

module posedge_detection(
                                       clk,
                                       rst,
                                       data_in,
                                       rising_edge // 1 for rising , 0 for falling
                        );
  input      clk;
  input      rst;
  input      data_in;
  output    rising_edge;

  reg        r_data0;
  reg        r_data1;

  assign rising_edge = ~r_data0 & r_data1;

  always @(posedge clk or negedge rst)begin
     if(!rst) begin
       r_data0<=0;
       r_data1<=0;
    end
    else begin
      r_data0<=r_data1;
      r_data1<=data_in;
    end
  end
endmodule
回复 支持 反对

使用道具 举报

发表于 2012-6-29 04:30:38 | 显示全部楼层
hao ti!
回复 支持 反对

使用道具 举报

发表于 2012-6-29 10:42:24 | 显示全部楼层
不错啊
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

手机版| 小黑屋| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 ) |网站地图

GMT+8, 2025-11-30 02:46 , Processed in 0.022048 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表