在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: oscillator_cn1

[求助] 求助:uvm_do_with如何给一个动态数组赋值

[复制链接]
 楼主| 发表于 2012-7-6 08:23:17 | 显示全部楼层
恩。没用inside,因为感觉用inside只是给req.arr划定了一个取值范围,并没用使得arr与arr_in完全一致。不知道我理解的对不对,还请指教。
发表于 2016-4-15 11:16:41 | 显示全部楼层
楼主我也遇到了同样的问题
int arr_in[];
arr_in=new(64);
然后对arr_in进行随机化
`uvm_do_with(req,{req.arr.size==arr_in.size();foreach(req.arr[i]) req.arr[i]==arr_in[i];})

现在出现的问题是arr_in也传不进req里啊??另外req里的arr应该如何定义??用不用new[]呢?

求助!!!
发表于 2017-4-12 21:09:08 | 显示全部楼层
改成这种写法:
int arr_in[];
arr_in=new[64];
然后对arr_in进行随机化
int size_cnt=arr_in.size();

`uvm_create(tr);
assert(req.randomize() with{req.arr.size==size_cnt;});
foreach(arr_int[i]) req.arr[i]==arr_in[i];
start_item(req);
finish_item(req);
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 01:08 , Processed in 0.014932 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表