在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3797|回复: 1

[求助] verilog中memory型数据能在module中作输出么?

[复制链接]
发表于 2012-5-31 15:10:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位侠客:
      看这个程序:





  1.                module(
  2.                      input ....
  3.                      output [15:0]fifomen[0:3]
  4.                      output ...
  5.                      );
  6.                ....
  7.               endmodule



复制代码

这样的程序,在语法检查中出现错误。
问题如下:
1.是不是verilog module里面输出接口不能用memory型数据?
2.如果想输出memory型数据,有什么好办法?(难道要一个一个地址的赋值再输出出来?)
发表于 2012-5-31 23:03:38 | 显示全部楼层
对的,就是你这么理解,memory变量在里面可以类似当作一个存储空间的用法,当然不支持放到外部接口上了。处理方式和对存储器的类似,接口上应该定义二维接口,而不是memory。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 05:47 , Processed in 0.017042 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表