在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!)    创芯人才网--重磅上线啦!
查看: 6094|回复: 2

[求助] quartus 11.1 sp2 能否和低版本的modelsim 6.5配合?

[复制链接]
发表于 2012-4-12 19:18:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如题!
发表于 2012-10-25 23:56:37 | 显示全部楼层
我也遇到这样的问题,现在用modelsim6.5和quartus11.1sp2联合,还没搞通
发表于 2012-10-27 01:41:56 | 显示全部楼层
问题基本解决了,两者可以配合

我用的是quartus11.1sp2,基本的方法跟之前网上提到的一样,都是加一些库(11.1里面多了一些其他的库,直接忽略了,还是用的之前的)

另外,如果仿真ROM之类的,按照网上的说法把hex文件拷贝到了仿真目录下,同时加了一个convert_hex2ver.dll文件,网上都这么说的,我也没管,基本那么做了

另外在整个处理过程中,发现好像软件会更改modelsim.ini的内容,我记得之前已经加了只读属性了,大家人为修改一下就好了,我的里面直接写成了
altera = altera
因为用了网络上写的那种写法(altera = $MODEL_TECH/../altera)反而不好使了

新手可以直接用quartus生成testbench文件,加一点激励就好了,如时钟信号就是

clock <= '1';
wait for 5 ns;
clock <= '0';
wait for 5 ns;

类似的吧,不想写也可以到modelsim里面手动激励,就是麻烦了点儿

我也是开始用modelsim,也不太熟悉,希望对大家有帮助。

另外,用quartus11.1sp2,需要打一个小补丁patch,坛子里有下载
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 02:38 , Processed in 0.019972 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表