在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 22676|回复: 23

[求助] 请教------Cadence AMS 数模混合仿真环境变量设置问题

[复制链接]
发表于 2012-1-19 20:57:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 saiaoying 于 2012-1-19 21:03 编辑

Cadence IC5141相关的环境变量设置如下(BASH SHELL),引用spectre仿真器可以顺利仿真通常的纯模拟电路,但是,引用AMS仿真器进行数模混合仿真就无法进行,不知道问题出在哪?希望大家帮忙检查一下环境变量设置有哪些不妥?诚挚希望大家多多指点。

#!/bin/sh
# Cadence EDA environment
CDSDIR=/EDA/CADENCEUSR5
CDS_ROOT=/EDA/CADENCEUSR5
CDS_INST_DIR=/EDA/CADENCEUSR5
CDS_INSTALL_DIR=/EDA/CADENCEUSR5/tools/dfII
CDS_Netlisting_Mode=Analog
CDS_LIC_FILE=/EDA/license/license.dat
MMSIM_ROOT=/EDA/MMSIM71
IUS_ROOT=/EDA/IUS583
BROWSER=`which firefox`
export CDSDIR \
       CDS_ROOT \
       CDS_INST_DIR \
       CDS_INSTALL_DIR \
       CDS_Netlisting_Mode \
       CDS_LIC_FILE \
       BROWSER
# PATH
export PATH=${MMSIM_ROOT}/tools.lnx86/vpcm/bin{CDS_INSTALL_DIR}/bin{CDS_ROOT}/tools/bin{PATH}
export PATH=${IUS_ROOT}/tools/bin:${IUS_ROOT}/tools/dfII/bin:${IUS_ROOT}/tools/spectre/bin:${IUS_ROOT}/tools/verilog/bin:${PATH}

(不知为啥":dollar"都变成头像了)
发表于 2012-1-25 04:51:23 | 显示全部楼层
贴一下你的错误信息来看看,否则怎么知道错在哪里。
发表于 2012-1-25 07:21:42 | 显示全部楼层
license问题。我的就有这个问题。后来网上找了license就可以了。
 楼主| 发表于 2012-1-25 12:45:48 | 显示全部楼层
后续的折腾:
1. 删除MMSIM71, 安装MMSIM61
启动MMSIM61内部的spectre, 环境变量重新改为:
#!/bin/sh

################################################################################
# Basic User Setting
################################################################################
export PATH=${PATH}:/usr/local/sbin:/usr/sbin:/sbin{HOME}/bin
export PATH=${PATH}:.

###############################################################################
# IntallScape Setting
###############################################################################
export PATH=/EDA/InstallScape/iscape/bin{PATH}

################################################################################
# Cadence EDA environment Setting
################################################################################
export CDSDIR=/EDA/CADENCEUSR5
export CDS_ROOT=/EDA/CADENCEUSR5
export CDS_INST_DIR=/EDA/CADENCEUSR5
export CDS_INSTALL_DIR=/EDA/CADENCEUSR5/tools/dfII
export CDS_Netlisting_Mode=Analog
       lic_root=/EDA/license
#export LM_LICENSE_FILE=${lic_root}/ic5141_lic.dat{lic_root}/mmsim61_lic.dat:${lic_root}/ius92_lic.dat:${lic_root}/ams_lic.dat
#export CDS_LIC_FILE=${LM_LICENSE_FILE}
export CDS_LIC_FILE=${lic_root}/all_lic.dat
export MMSIM_ROOT=/EDA/MMSIM61
#export IUS_ROOT=/EDA/IUS92
export IUS_ROOT=/EDA/IUS583
export AMSHOME=${IUS_ROOT}

export PATH=${MMSIM_ROOT}/tools/bin:${MMSIM_ROOT}/tools/spectre/bin:${MMSIM_ROOT}/tools/vpcm/bin:${CDS_INSTALL_DIR}/bin:${CDS_ROOT}/tools/bin:${PATH}
export PATH=${IUS_ROOT}/tools/bin:${IUS_ROOT}/tools/dfII/bin:${IUS_ROOT}/tools/spectre/bin:${IUS_ROOT}/tools/verilog/bin:${PATH}

2. 启动MMSIM61内的spectre来进行纯模拟的仿真OK,但是,进行AMS数模混合仿真还是不行,
   AMS_netlisting.................ok
    Compilation......................failed
    Elaborating.......................failed
    simulation.........................failed

3. 安装ksh shell (根据运行的提示估计ncverilog编译verilog代码的时候要启动ksh的脚本)
    最后运行结果:
   AMS_netlisting.................ok
    Compilation......................ok
    Elaborating.......................ok
    simulation.........................failed

    报错信息如下:
   ncsim: 05.83-s009: (c) Copyright 1995-2007 Cadence Design Systems, Inc.

Trying to check out license...
        Incisive_Verif_Engine 5.8 - Failed
        Incisive_Verif_Engine 5.8 - Failed
        Incisive_Design_Team_Simulator 5.8 - Failed
        Incisive_Design_Team_Simulator 5.8 - Failed
        Affirma_ams_simulator 5.8 - Failed
        Virtuoso_Multi_mode_Simulation 5.0 - Failed
ncsim: *F,NOLICN: Unable to checkout license for the simulation. (flag - 18) 'lic_error -114'.
Failed to simulate ("iec_rq_dns_ds_mod_ver101" "testbench139_iec_rq_dns_ds_mod101" "config").

但是我的license 里已经有相关的FEATURE了呀(在EFA licgen中输入上文的信息生成的):
FEATURE Incisive_Verif_Engine cdslmd 100.0000 permanent uncounted BE401189CBBD663DE118 HOSTID=ANY
FEATURE Incisive_Design_Team_Simulator cdslmd 100.0000 permanent uncounted DE8001F9E6F37EEF8473 HOSTID=ANY
FEATURE Affirma_ams_simulator cdslmd 100.0000 permanent uncounted 2EC031296AFDAC99FC54 HOSTID=ANY
FEATURE Virtuoso_Multi_mode_Simulation cdslmd 100.0000 permanent uncounted 8EC061E97E2F27913BB6 HOSTID=ANY
#这两种组合都试过
#FEATURE Incisive_Verif_Engine cdslmd 5.8 permanent uncounted 5E3081B9C54C61989ECA HOSTID=ANY
#FEATURE Incisive_Design_Team_Simulator cdslmd 5.8 permanent uncounted BE9031B9ECE9C8027556 HOSTID=ANY
#FEATURE Affirma_ams_simulator cdslmd 5.8 permanent uncounted 1EB0015990F4A378014A HOSTID=ANY
#FEATURE Virtuoso_Multi_mode_Simulation cdslmd 5.0 permanent uncounted 2EF061E9DB2F27743BD3 HOSTID=ANY

快要没辙了,希望了解的大牛指点指点啊,这大过年的,整得茶饭不思啊。
发表于 2012-1-25 14:46:00 | 显示全部楼层
回复 4# saiaoying
用这个license。注意 路径设定好,license 根据你的前两行路径 自己改一下。
cadence.zip (10.22 KB, 下载次数: 233 )
发表于 2012-1-25 18:40:08 | 显示全部楼层
检查你的license 是否有效

lmutil -diag -c $lic_root/ius92_lic.dat

看看feature能否check out
 楼主| 发表于 2012-1-25 20:07:53 | 显示全部楼层
本帖最后由 saiaoying 于 2012-1-25 20:23 编辑

回复 6# amodaman

0. 各模块的装载路径:IC5141: /EDA/CADENCEUSR5/
                             MMSIM61: /EDA/MMSIM61/
                             IUS583: /EDA/IUS583/
                             license: /EDA/license/

1. 采用5#“天牛”大哥的license,把它改名为all_lic.dat,并复制到/EDA/license路径, 再把该文件头两行改为:
SERVER localhost ANY 5200
DAEMON cdslmd /EDA/CADENCEUSR5/tools/bin/cdslmd
... ...

2. 环境变量文件/ect/profile.d/cadence.sh设置为:
... ...
export LM_LICENSE_FILE=5200(在)localhost:/EDA/license/all_lic.dat
export CDS_LIC_FILE=${LM_LICENSE_FILE}
... ...

3. AMS运行结果仍然报 check out license ...failed

4. 先通过ps指令找到lmgrd的pid, kill pid, 然后单独在命令行输入[xxxxx@localhost ~]$ /EDA/IUS583/tools/bin/lmgrd -c /EDA/license/all_lic.dat
结果反馈:
一大片的无效key,比如:
......
19:50:42 (cdslmd) Invalid license key (inconsistent authentication code)
19:50:42 (cdslmd)           ==>FEATURE Incisive_Verif_Engine cdslmd 1000.0000 permanent uncounted 9EC6A3A556359CEF HOSTID=ANY
......

5. 不知道问题在哪?大哥帮忙指点一下啊。
    个人估计:
    (1)license “天牛”大哥应该是验证过ok的
    (2)IUS583软件本身是实验室用tar打包回来的,以前跑过ok的
    (3)仿真的config view (电路+Verilog), 以前就在实验室跑过ok的
     综合以上3点,很怀疑是不是和license相关的环境变量设置有问题?最后,临门一脚,希望大家发挥成人之美 的精神帮忙指点指点。谢谢!
发表于 2012-1-25 20:11:42 | 显示全部楼层
这个问题很清楚啊,就是版权文件不对嘛.如果在运行lmgrd 的时候返回一大堆无效的license, 这个版权文件就是没用了。另外,你的5200端口是不是已经被别的版权文件占用了?这个也值得查一下。
 楼主| 发表于 2012-1-25 20:44:34 | 显示全部楼层
回复 8# amodaman


    只有这一个license 文件。详细看了一下,lmgrd几乎对全部的FEATURE都报无效,所以,猜测还是设置出问题的概率比较大。
我的机器是单机,问题是不是出在“没有联网”
发表于 2012-1-25 21:37:19 | 显示全部楼层
跟联网没有关系.

1 你的机器名字是叫做localhost吗?
2 你的端口5200可以用吗?是否被其他的daemon占用了?
3 启动license daemon的时候把log 文件打开:lmgrd -c all_lic.dat -l /var/log/cds_lic.log, 再看看log 文件里面有没有出错信息
4 用lmutil -diag -c all_lic.dat来看看你要的feature是否可以check out
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-29 04:16 , Processed in 0.038159 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表