在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2771|回复: 0

[求助] VCS混仿VHDL,SV

[复制链接]
发表于 2012-1-13 13:48:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
现在学习用vcs来作仿真,但是在DVE里只能看到Vhdl的顶层信号,再下层的信号都无法看到,该怎么办呢?design是用vhdl写的,tb是sv写的。
makefile如下:
UVM_HOME        = ../../uvm-1.0p1
WORK          = worklib
UVM_VERBOSITY =    UVM_LOW
UVM_TESTNAME  = oh4_base_test
#RUN_TIME      = +vcs+stop+20000
COVERAGE_CMD  = -cm line+cond+tgl
GUI_ENABLE    = -gui
TEST          = /usr/bin/test
N_ERRS        = 0
N_FATALS      = 0
VCS_CMD       = -l vcs.log -sverilog -timescale=1ns/1ns $(COVERAGE_CMD) \
                                -debug_all +define+DEBUG
                                
SIM_CMD       = -l sim.log $(COVERAGE_CMD) \
                                $(RUN_TIME) +vpdfile+test.vpd \
                                +UVM_VERBOSITY=$(UVM_VERBOSITY) \
                              +UVM_TESTNAME=$(UVM_TESTNAME) $(GUI_ENABLE)

all: mk_dir comp elab sim


mk_dir:
    rm -rf  $(WORK)
    rm -rf  report
    mkdir   $(WORK)
    mkdir   report

comp:
    vhdlan -l vhdlan.log -work $(WORK) -smart_order -f ./rtl.f
    vlogan -l vlogan.log -sverilog -y $(WORK) +incdir+$(UVM_HOME)/src+../tb ./tb_top.sv

elab:
    vcs $(VCS_CMD) \
            +incdir+$(UVM_HOME)/src $(UVM_HOME)/src/uvm.sv \
            $(UVM_HOME)/src/dpi/uvm_dpi.cc -CFLAGS -DVCS -lib $(WORK) tb_top.sv

sim:
    ./simv  $(SIM_CMD)
   
check:
    @$(TEST) \( `grep -c 'UVM_ERROR :    $(N_ERRS)' vcs.log` -eq 1 \) -a \
         \( `grep -c 'UVM_FATAL :    $(N_FATALS)' vcs.log` -eq 1 \)

clean:
    rm -rf core.* csrc simv* vc_hdrs.h ucli.key urg* *.log


高手看看是哪里的问题?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 22:26 , Processed in 0.019884 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表