在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7560|回复: 8

[求助] OVM+sv种子问题

[复制链接]
发表于 2011-12-20 21:07:36 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我们公司用的cadence的OVM验证方法学和verdi,怎么用用seed让随机重现?怎么设置种子随机?弄了几天没弄明白,请指教!
发表于 2011-12-20 22:39:11 | 显示全部楼层
我也是新人,说的不对见谅,我在用vcs和verdi联合仿真。vcs的运行参数+ntb_random_seed_automatic在运行随机约束时好像是会产生种子随机的随机数,这个随机种子在运行之前会打印出来,但是在使用函数$random时随机数种子是不变的,应该是默认的种子。至于说随机数再现,可以设置随机种子,好像是+ntb_random_seed $1,具体的你再查查吧。等楼下大神正解。
发表于 2011-12-21 16:41:37 | 显示全部楼层
你还没有说你使用的是什么仿真工具呢。mentor的modelsim有一个参数选项-sv_seed就是设置种子。
 楼主| 发表于 2011-12-21 20:32:01 | 显示全部楼层
回复 3# gaurson 仿真工具不是verdi吗?我看readme里有个sim -s是种子 但是加了之后还是在irun.log里发现说种子random in command line不知道什么意思啊,种子应该在命令行随机还是在代码里面,新人什么都不懂啊
发表于 2011-12-22 11:50:40 | 显示全部楼层
irun的话,好像是ncsim的指令,verdi应该只是辅助观察波形的工具。一般应该都是在指令的参数选项里。
 楼主| 发表于 2011-12-22 20:48:52 | 显示全部楼层
回复 5# gaurson
就是说sim -s 13455 这样?但是仿真好像没啥变化 irun里面 sv seed 那行显示还是-random

    好像就是说还是随机的种子,寄存器的写每次也不一样
发表于 2011-12-22 23:09:45 | 显示全部楼层
kankankan
发表于 2011-12-23 14:21:09 | 显示全部楼层
回复 6# jiangchh


    irun的我不清楚,我用的questasim是类似的参数选项。估计你要详细查看工具的说明文档了。
发表于 2016-11-22 16:40:08 | 显示全部楼层
来学习下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 19:14 , Processed in 0.033967 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表