在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4880|回复: 9

[求助] system verilog DPI 求教

[复制链接]
发表于 2011-9-24 15:40:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用c写了一个模块,然后用Verilog写一个模块,两个模块功能一致(譬如都是FIR滤波器的设计),
想要通过写一个testbench来同时仿真验证这两个模块。刚接触system verilog DPI ,还只是看了system verilog PDF中的DPI的部分,看完感觉听幻觉的,感觉不知道怎样仿真,指令啥的?特来请教system verilog 的高手指教一下

如果大家有关于system verilog DPI 方面的资料,也希望大家分享一下。。。学习学习。
发表于 2011-9-26 19:06:19 | 显示全部楼层
DPI?深度包检测?
发表于 2011-9-26 21:01:21 | 显示全部楼层
DPI,Direct Programming Interface.
 楼主| 发表于 2011-9-27 12:45:49 | 显示全部楼层
或者大家有没简单的
验证C与verilog模块的 system verilog测试程序,就比如C与verilog写个简单的加法功能的模块,然后运用system verilog测试验证  学习一下, 看了一下system verilog PDF中的DPI的部分,但是没怎么看懂。。。
发表于 2011-9-27 15:38:32 | 显示全部楼层
DPI 就是在 system Verilog 里面调用 C函数,比如你RTL硬件计算啥结果,C语言也能计算结果,都拿相同的数据驱动就行了,有些想SV里面调用Task,不过Task是直接调用C函数的!C语言与SV的接口就是DPI,自己去找个例子,改改就行了!
发表于 2012-8-15 12:35:26 | 显示全部楼层
SV里面调用Task,不过Task是直接调用C函数的!C语言与SV的接口就是DPI,自己去找个例子,改改就行了
发表于 2012-8-24 10:11:05 | 显示全部楼层
看下你用的仿真器的user manual,如何用DPI,编译C,如何联接
发表于 2012-8-24 18:55:57 | 显示全部楼层
按6楼的方法来。
6楼很勤奋,就要这么回帖。
发表于 2012-11-7 16:56:59 | 显示全部楼层
学习了
发表于 2012-11-9 15:55:59 | 显示全部楼层
bangding
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 09:29 , Processed in 0.026779 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表