在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!)    创芯人才网--重磅上线啦!
查看: 2270|回复: 0

[求助] msp149 8通道AD采集辣手问题

[复制链接]
发表于 2011-9-16 11:11:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
片子是149型号,之前调单路P6.0通道ad采集,一切正常,
现在要采集8路ad,用P6脚 序列多通道多次转换,初始化如下。调试发现,AD1通道依旧正常,AD2...AD8采集数不正确。望哪位朋友赐教。谢谢
  P6DIR =0x00;                          //p6=input
     P6SEL = 0xff;                        // Enable A/D channel A0 A1 A2 A3 ....A7
      ADC12MCTL0 |=0x020;                    //  sel channel A0 Sref=1        OUT  VR+=VEREF
     ADC12MCTL1 |=0x020;                    //  sel channel A1 Sref=1        OUT  VR+=VEREF
     ADC12MCTL2 |=0x022;                    //  sel channel A2 Sref=1        OUT  VR+=VEREF
     ADC12MCTL3 |=0x023;                    //  sel channel A3 Sref=1        OUT  VR+=VEREF
     ADC12MCTL4 |=0x024;                    //  sel channel A4 Sref=1        OUT  VR+=VEREF
     ADC12MCTL5 |=0x025;                    //  sel channel A5 Sref=1        OUT  VR+=VEREF
     ADC12MCTL6 |=0x026;                    //  sel channel A6 Sref=1        OUT  VR+=VEREF
     ADC12MCTL7 |=0x027;                    //  sel channel A7 Sref=1        OUT  VR+=VEREF
     //ADC12MCTL7 |=0x080;                    // set channel A7 last time
     
    ADC12CTL0 = ADC12ON;//+SHT0_0;//+SHT1_0;
    ADC12CTL0 |= 0x0080;                   //MSC=1
      ADC12CTL1 = SHP;                      // Use sampling timer /ADC12OSC
    ADC12CTL1 |= 0x0008;                      // ADC12SSEL=1 Use sampling timer /ACLK
    ADC12CTL1 |= 0x0006;                      //mult channel conseq   continue ad
    ADC12CTL0 |= ENC;                     // Enable conversions
    ADC12CTL0 |= ADC12SC;                     // Start conversion
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 21:45 , Processed in 0.040425 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表