在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2811|回复: 6

[求助] 请教TIMEQUEST约束异步时钟的问题

[复制链接]
发表于 2011-9-12 23:06:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家好,中秋快乐!
我用dsp 和 altera fpga 进行通信,当dsp 向FPGA写数据时,通常是用
一个组合逻辑产生一个时钟 ,将数据锁存,这个时钟怎么约束啊?
发表于 2011-9-13 07:54:57 | 显示全部楼层
这个不需要约束吧?可以直接打两拍就可以了吧,
 楼主| 发表于 2011-9-13 15:41:43 | 显示全部楼层
您说的对,如果直接用这个组合逻辑的话,不用约束,
可是,我把这个组合逻辑的钟,用系统钟打了几拍
发表于 2011-9-14 08:23:19 | 显示全部楼层
你的意思是不是说 比如FPGA和ARM或者FPGA和MCU进行通信呢?其中FPGA和MCU在不同的时钟下,但是频率一定呢?
发表于 2011-9-18 20:10:19 | 显示全部楼层
很简单,跨时钟域同步吧。
发表于 2011-9-18 20:31:51 | 显示全部楼层
回复 5# canoeeda


    ???怎么样跨时钟域同步呢?可以详细讲讲啊?
发表于 2011-9-21 08:07:44 | 显示全部楼层
用异步fifo
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 09:26 , Processed in 0.023553 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表