在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2982|回复: 9

[求助] xilinx Virtex-4开发兼职(有酬)

[复制链接]
发表于 2011-6-30 13:10:12 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
寻求Virtex-4开发兼职(有酬):
  要求:1.对Virtex-4内部模块进行动态测试,并能提供相应的代码与文档说明;
     2.设计一板8个(XC4VSX35-10FF668I)的测试板原理图;
  联系电话:0571-86461007 李先生
 楼主| 发表于 2011-7-1 09:14:51 | 显示全部楼层
没人有兴趣吗?
发表于 2011-7-1 09:22:46 | 显示全部楼层
钱啊钱,多少钱?
发表于 2011-7-1 16:56:39 | 显示全部楼层
回复 3# gaobaitong


    楼上一针见血、入木三分
   佩服佩服!
发表于 2011-7-1 17:51:57 | 显示全部楼层
这个要求不清楚,太概要了,估计LZ还不好出价 哦
 楼主| 发表于 2011-7-4 11:07:33 | 显示全部楼层
钱是公司出的,这个可以谈。因为以前没有用过Xilinx的东西,对其内部资源不是很了解。
测试的要求有几个方面:
1、逻辑阵列进行动态翻转,可以做成计数器的形式,保证每次计数正常,要求95%以上的使用率。如果不正常通过一个IO输出高电平,直到有外部复位为为低电平。
2、内部RAM块的测试,将内部的RAM块综合成一个通用SRAM,通过一个状态机控制其读写,步骤如下:
   a、对所有单元写入0x0(Addr(0)~Addr(N)),记故障状态写0x00;
   b、从第一个单元(Addr(i) , i=0)开始操作,将Addr(0)写入0xff,
   c、读出除(Addr(i)外的所有单元的内容是否保持,如果不保持标记故障状态0x01;
   d、读出Addr(i)是否改写成功,不成功标记故障状态0x02;
   e、如果记故障状态不为0,重复a~b操作,如满三次后还有故障,则通过两个IO输出高电平,直到有外部复位为为低电平。
  f、地址+1(Addr(i+1)),重复a~e操作,直到地址为N后,重新使i=0;
3、DSP模块测试,要求设计一种以最高频率运行的算法,同理也需知道每次计算结果是否正确,不正确通过1个IO输出高电平,直到有外部复位为为低电平.

  要求设计以VHDL方式完成,需要有testbench仿真,对每个模块的设计要有流程图以及文档说明,芯片的资源使用率也要有说明。
  不知道是否说清楚了?如果有兴趣的朋友可以核以一下工作量,给一个报价,我报到公司去。
  联系电话:0571-86461007 
  邮箱  :wufenghz@163.com
                李先生
 楼主| 发表于 2011-7-7 16:40:22 | 显示全部楼层
真不好意思,网络断了几天。各位的邮件我已收到。有些情况补充说明一下,我公司在杭州,Xilinx的器件以前没有使用过,开发环境没有使用过,领导们希望能项目完成后能够现场验收。器件我们将使用的测试座共8个(封装见附件)由于测试座非常昂贵,我们将在最后验收时才提供。测试板的尺寸以及端口定义见附件。
  注:测试座照片,底视图是以顶图右边为轴旋转180度。

测试板及说明.rar

27.61 KB, 下载次数: 23 , 下载积分: 资产 -2 信元, 下载支出 2 信元

3M_1.0mm open-top BGA SOCKET.pdf

535.73 KB, 下载次数: 18 , 下载积分: 资产 -2 信元, 下载支出 2 信元

测试座.rar

1008.89 KB, 下载次数: 22 , 下载积分: 资产 -2 信元, 下载支出 2 信元

 楼主| 发表于 2011-7-11 08:49:22 | 显示全部楼层
自己顶一个,
发表于 2016-1-19 12:26:30 | 显示全部楼层
学习一下
发表于 2016-1-22 09:32:58 | 显示全部楼层
心有余力不足  帮顶
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 14:45 , Processed in 0.027851 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表