在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 18077|回复: 21

[求助] 请问vcs编译UVM库,出错,怎么办

[复制链接]
发表于 2011-6-27 21:55:53 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大虾们:
我用VCS-200906编译UVM lib1.0时,总会出现

Error-[UC] Unexpected character
  The character '`' is illegal in the context.
  "../../../src/base/uvm_version.svh", 28 (expanding macro)
  Source info: parameter string uvm_revision = `UVM_VERSION_STRING;
1 error

在网上看,有人说VCS2010以上才能编译UVM lib,是这样的吗??

难道是VCS版本太低,不支持UVM1.0吗???
发表于 2011-6-28 17:32:40 | 显示全部楼层
版本太低!
发表于 2011-6-28 20:52:50 | 显示全部楼层
至少是vcs2010.09
发表于 2011-8-29 21:52:25 | 显示全部楼层
我听snps的ae说是2011.03才真正support UVM1.0。
发表于 2011-8-31 08:50:37 | 显示全部楼层
how about UVM1.1?
发表于 2011-9-4 08:19:14 | 显示全部楼层
1)我用的vcs2011.03,也出现同样的问题了,试过了uvm1.1和uvm1.0都是如此,是怎么回事呢?
2)我用的是在uvm/uvm-1.0pl/examples下的Makefile.vcs,由于不知道怎样运行这个makefile,就把里面VCS下的一段vcs -sverilog -timescale=1ns/1ns +acc +vpi +incdir+$UVM_HOME/src $UVM_HOME/src/uvm.sv $UVM_HOME/src/dpi/uvm_dpi.cc -CFLAGS -DVCS直接复制下来在终端中运行的,出现上面的问题,不知我这样的运行方法会不会有问题?各位有知道如何运行makefile.vcs的吗?
发表于 2011-9-4 09:34:37 | 显示全部楼层
我用vcs2011.03跑uvm-1.1没问题的;
试试make -f Makefile.vcs执行makefile.vcs;
发表于 2011-9-10 03:11:03 | 显示全部楼层
UVM是要要求很高的仿真器版本,我用IUS9.2PLUS才可以编译
发表于 2011-9-10 03:12:04 | 显示全部楼层
UVM是要要求很高的仿真器版本,我用IUS9.2PLUS才可以编译
发表于 2011-9-21 14:15:08 | 显示全部楼层
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-29 02:35 , Processed in 0.048361 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表