在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: xjqxjq28007

[求助] DX帮小弟看看这个ocean脚本错在哪里了,谢谢

[复制链接]
发表于 2011-6-28 22:35:56 | 显示全部楼层
学习了
发表于 2014-2-28 10:35:19 | 显示全部楼层
唉,我也是同样的问题,用的GF的库比较恶心,乱
发表于 2015-4-8 09:07:45 | 显示全部楼层
回复 6# buckaroo

麻烦大神帮我看一下程序哪地方错了,主要是list和modelFile的用法是否正确,谢谢!!!
;; * corner_np_ctrl begin
for(corner_np_ctrl 1 2 3
   if(corner_np_ctrl == 1 corner1="/home/zhangwei/ocean/model_nptt.scs")
   if(corner_np_ctrl == 2 corner1="/home/zhangwei/ocean/model_npss.scs")
   if(corner_np_ctrl == 3 corner1="/home/zhangwei/ocean/model_npff.scs")

;; * corner_rc_ctrl begin

for(corner_rc_ctrl 1 2 3
   if(corner_rc_ctrl == 1 corner2="/home/zhangwei/ocean/model_rctt.scs")
   if(corner_rc_ctrl == 2 corner2="/home/zhangwei/ocean/model_rcss.scs")

if(corner_rc_ctrl == 3 corner2="/home/zhangwei/ocean/model_rcff.scs")

;; * corner_bjt_ctrl begin
for(corner_bjt_ctrl 1 2 3
   if(corner_bjt_ctrl == 1 corner3="/home/zhangwei/ocean/model_bjttt.scs")
   if(corner_bjt_ctrl == 2 corner3="/home/zhangwei/ocean/model_bjtss.scs")

if(corner_bjt_ctrl == 3 corner3="/home/zhangwei/ocean/model_bjtff.scs")


;; * Vpower_ctrl begin
for(Vpower_ctrl 1 2
   if(Vpower_ctrl == 1 then Vpower=2.7 Vpower_path="v2.7")
   if(Vpower_ctrl == 2 then Vpower=3.6 Vpower_path="v3.6")
;; * T_ctrl begin
for(T_ctrl 1 2
   if(T_ctrl == 1 then T=-40 T_path="T-40")
   if(T_ctrl == 2 then T=85 T_path="T85")


corner=list(corner1,corner2,corner3)


printf("The current simulation: %s Vpower=%4.2f temp=%d \n" corner Vpower T)


save_path=strcat("/home/cds/simulation/sim_sdm/spectre/corner_tran" "_" substring(corner -8 4) "_" Vpower_path "_" T_path)


ocnWaveformTool( 'awd )
simulator( 'spectre )


;; change the netlist to delete the capacitance of mim cap


design( "/home/cds/simulation/sim_sdm/spectre/corner_tran/netlist/netlist")


resultsDir( save_path )


modelFile( corner)
发表于 2015-8-1 17:01:41 | 显示全部楼层
发表于 2015-8-1 17:05:06 | 显示全部楼层


回复  buckaroo


    谢谢这位大哥了,我的问题解决了,就是因为没有include的原因,按照你的方法,我 ...
xjqxjq28007 发表于 2011-5-24 09:25




    include这句加在最开始么?
发表于 2015-9-11 09:16:00 | 显示全部楼层
include不加肯定有问题的,只是不用循环也太那个了吧......
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 17:34 , Processed in 0.015921 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表