在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3287|回复: 3

[求助] quartus与modelsim联调时的错误

[复制链接]
发表于 2011-5-10 23:19:39 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在quartus中用到了自带的复乘器,我看代码中貌似实例化了多个系统模块(我不知道这些模块是哪儿的)
用modelsim仿真时出现如下错误
** Error: (vsim-3033) E:/altera/10.0/test/lms/../../mycode/mult_complex.v(155): Instantiation of 'altmult_add' failed. The design unit was not found.
#         Region: /lms/y/multiplier
#         Searched libraries:
#             E:\altera\10.0\modelsim_ae\altera\verilog\stratixii
#             E:\altera\10.0\test\lms\simulation\modelsim\gate_work
#             E:\altera\10.0\test\lms\simulation\modelsim\gate_work
#             E:\altera\10.0\test\lms\simulation\modelsim\gate_work
#

mult_complex.v中实例化了altmut_add,
这个模块是系统内部的吧,所以无法找到

请问modelsim中这个错误怎么解决呢?
谢谢
发表于 2011-5-11 08:13:45 | 显示全部楼层
在Altera的库里面,是有这个的。虽然综合的时候,不需要添加它,但是作为Molesim仿真,需要添加它的行为代码。
例如,你上面log中输出的,在如下路径进行了搜索:
E:\altera\10.0\modelsim_ae\altera\verilog\stratixii
但是需要先将这个文件夹下面的'*.v' 编译一下,编译到一个指定的目录,然后在那个目录中search。
lib
发表于 2011-6-17 22:48:41 | 显示全部楼层
嗯,值得学习一下
发表于 2018-12-20 18:32:02 | 显示全部楼层
学习中。。。。。。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-28 11:15 , Processed in 0.089341 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表