在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3394|回复: 4

[讨论] 关于fpga的一些问题

[复制链接]
发表于 2011-3-23 13:43:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟刚从事电子技术1年多,刚上来接触的就是FPGA单片机dsp什么的都没有玩过。
这一年多来学到了不少东西,也对fpga有了浓厚的兴趣,觉得fpga真的很有意思,可以做时序,可以做算法,可以嵌入Microblaze,可以嵌入DSP,而且听说xilinx以及开发了arm内核了。也就是说如果fpga玩好了,你可以做任何事情。
但是我发现fpga真是一个入门简单之极,深入了解需要下大工夫的东西。
FPGA是硬件,Vhdl是硬件描述语言,但是我对什么语言对应什么硬件没有什么概念。
随着做东西的深入,这个缺点已经越来越明显了。
比如说这两天遇到的一个问题,我之前曾经在网上的代码中见到过对fpga外部的信号进行同步,但是我并没有重视,或者并不知道这么做的意义。
请教各位高手有没有相关的资料给我学习下?或者书籍也行。
还有,ise中的view/edit routed design(fpga editor)中可以看到语言最终生成的硬件,但是里边的模块我不知道对应什么东西,xilinx有相关的手册么?我认为写个代码,再看看生成的电路,会对我有很大帮助。
真的很想把fpga学好,我认为我也舍得下功夫学它,请各位指点!
发表于 2011-3-23 18:50:41 | 显示全部楼层
你关起门来使劲学就行了。
发表于 2011-3-25 00:39:29 | 显示全部楼层
你说问题的和硬件联系也不大吧,外部信号同步也是为了保持信号的建立保持时间,这部分是时序优化的内容,有时间多读读quartus的官方文档比啥都有用。
发表于 2011-3-25 10:44:24 | 显示全部楼层
很简单。
你写一些简单的verilog代码,然后将其综合成网表。ISE也有RTL schematic viewer可以看到综合之后的网表的吧。分析一下网表,把他和你的代码一个个对应起来。
发表于 2011-3-29 22:55:04 | 显示全部楼层
做一些实际的东西吧  练着就出来了 技术又不是玩物
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-10 16:22 , Processed in 0.024686 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表