在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6834|回复: 5

[求助] modelsim中compile之后为什么在library里找不到文件?

[复制链接]
发表于 2011-3-11 18:30:59 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 cC追Cc 于 2011-3-11 18:40 编辑

看教程上面说这种情况update之后就可以了,,各位大侠帮帮我啊
发表于 2011-3-11 21:13:20 | 显示全部楼层
看看是不是编译的时候没有指定库或是库指定错了
 楼主| 发表于 2011-3-12 10:05:03 | 显示全部楼层
回复 2# lordlion


    可以了  哈哈 谢谢啊~~
发表于 2014-3-28 16:12:38 | 显示全部楼层
如何解决的啊
发表于 2016-3-24 22:27:58 | 显示全部楼层
如何解决的啊
发表于 2022-5-29 21:24:19 | 显示全部楼层
同样遇到这个问题了,求助!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 20:21 , Processed in 0.030992 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表