在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: renninger1

[求助] Modelsim如何没有图形化界面

[复制链接]
发表于 2010-8-5 14:06:37 | 显示全部楼层
-c -t ps
发表于 2010-8-7 10:58:42 | 显示全部楼层
学习学习
发表于 2010-8-7 15:52:40 | 显示全部楼层
2# cihchenlin

1. vsim -c -do run.do -l vsim.log -wlf vsim.wlf
2. the contents of run.do:
    log -r /*
    run -all
    exit -f

you can see the vsim.wlf file in your simulation directory. Next time you can load vsim.wlf into modelsim, then you can look the waveforms of all signals.
发表于 2011-5-6 00:02:49 | 显示全部楼层
学下一下。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-26 07:19 , Processed in 0.017413 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表