在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 11969|回复: 19

[求助] modelsim 仿真问题 对象框没信号列表 (已解决)

[复制链接]
发表于 2010-6-23 00:05:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 xnjd12566 于 2010-6-23 22:52 编辑

达人们 当利用 modelsim se 6.2b 运行 test文件 怎么对象框中没有信号列表?这样add wave 就会现No objects found matching '/test_counter/*'的错误
想知道是哪里出了问题 谢谢  刚学此软件哈
下面是test_counterd原代码
module test_counter;
reg clk, rst;
wire [7:0] count;
counter #(5,10) dut (count,clk,rst);
initial // Clock generator
  begin
    clk = 0;
    #10 forever #10 clk = !clk;
  end
  
initial // Test stimulus
  begin
    rst = 0;
    #5 rst = 1;
    #4 rst = 0;
    #50000 $stop;
  end
  
initial
    $monitor($stime,, rst,, clk,,, count);
   
endmodule   

下面是 counter.v的原代码
module counter (count, clk, reset);
output [7:0] count;
input clk, reset;
reg [7:0] count;
parameter tpd_clk_to_count   =  1;
parameter tpd_reset_to_count =  1;

function [7:0] increment;
input [7:0] val;
reg [3:0] i;
reg carry;
  begin
    increment = val;
    carry = 1'b1;
    /*
     * Exit this loop when carry == zero, OR all bits processed
     */
    for (i = 4'b0; ((carry == 4'b1) || (i <= 7));  i = i+ 4'b1)
       begin
         increment = val ^ carry;
         carry = val & carry;
       end
  end      
endfunction
always @ (posedge clk or posedge reset)
  if (reset)
     count = #tpd_reset_to_count 8'h00;
  else
     count <= #tpd_clk_to_count increment(count);
/*
* To make module counter synthesizeable, use the following
*  alternate form of the always block:
*/
/***********************************************
always @ (posedge clk or posedge reset)
  if (reset)
     count <= 8'h00;
  else
     count <= count + 8'h01;
***********************************************/
endmodule
发表于 2010-6-23 09:22:23 | 显示全部楼层
initial
    $monitor($stime,, rst,, clk,,, count);
应是dut.count, 如果不写路径,默认是当前路径。
发表于 2010-6-23 10:33:03 | 显示全部楼层
达人们 当利用 modelsim se 6.2b 运行 test文件 怎么对象框中没有信号列表?这样add wave 就会现No objects found matching '/test_counter/*'的错误
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~应该是没有编译
 楼主| 发表于 2010-6-23 12:16:01 | 显示全部楼层
我已经改了 但还是不行
我是按下面流程:
把以上的counter.v和test_counter.v编译到库work后
然后再在work库列表中双击 test_counter.v  在objects框中还是没有信号列表
实际应该是有 clk 和rest信号的啊 不知道是哪里出了问题 请帮忙分析一下 谢谢
双击test_counter.v后编译窗口的信息如下
top level modules:
#  counter
modelsim>vsim work.test_counter
# vsim work.test_counter
# ** Note: (vsim-3812) Design is being optimized...
# Loading work.test_counter(fast)
add wave sim:/test_counter/*
# (vish-4014) No objects found matching '/test_counter/*'.
上面红色的(fast)书上编译的信息里面没有的 是不是那里的问题?
发表于 2010-6-23 14:50:13 | 显示全部楼层
被优化掉了
命令行用 vsim -novopt
或者修改modelsim.ini里面的选项,去掉优化。
发表于 2010-6-23 19:11:50 | 显示全部楼层
很想帮你,但是我也不懂亚。
发表于 2010-6-23 21:30:44 | 显示全部楼层
simulate without optimization
 楼主| 发表于 2010-6-23 22:39:20 | 显示全部楼层
达人 能不能说详细点 在modelsim.ini怎样修改 谢谢了
 楼主| 发表于 2010-6-23 22:40:47 | 显示全部楼层
还在不  能不能说详细点 谢谢了
 楼主| 发表于 2010-6-23 22:47:26 | 显示全部楼层
呵呵 行了哈  命令行运行 vsim -novopt work.test_counter
就行了  遇到同样问题的同学们 请注意了
谢谢 各位了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条


小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-23 06:54 , Processed in 0.020995 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表