在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!)    创芯人才网--重磅上线啦!
查看: 10806|回复: 46

[资料] C_and_the_8051-book

[复制链接]
发表于 2010-6-5 07:13:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
QQ截图未命名.jpg

C_and_the_8051.part1.rar

4.82 MB, 下载次数: 222 , 下载积分: 资产 -3 信元, 下载支出 3 信元

C_and_the_8051.part2.rar

4.82 MB, 下载次数: 231 , 下载积分: 资产 -3 信元, 下载支出 3 信元

C_and_the_8051.part3.rar

1.51 MB, 下载次数: 225 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2010-6-6 21:30:04 | 显示全部楼层
good
thanks
good
发表于 2010-6-10 13:26:02 | 显示全部楼层
very good
thanks
发表于 2010-6-10 13:30:54 | 显示全部楼层
very good
thanks
发表于 2010-7-19 11:24:17 | 显示全部楼层
感谢分享。
发表于 2010-7-19 12:11:33 | 显示全部楼层
matlab在256点8bit的正弦波数据方面的实例
作者:佚名  来源:本站整理  发布时间:2010-3-17 20:30:27
减小字体 增大字体

matlab在256点8bit的正弦波数据方面的实例如下:

N = 256;

          n = 1:256;

         x = fix(128 + (2^7 - 1) * sin(2*pi*n/N));

          fid = fopen('sin.txt','wt');

        fprintf(fid,'%x\n',x);

        fclose(fid);

    下图是截取的产生的数据文件的内容



    然后将产生的sin.txt文件复制到Modelsim的工程下,在Verilog文件中先定义一个8bit X 256数组,然后通过$readmemh命令,将文件中的数据读入,相关的Verilog代码如下:

reg [7:0] data_mem[0:255]; //定义一个8bit X 256的数组

initial

    begin

    $readmemh("sin.txt",data_mem); //将sin.txt中的数据读入存储器data_mem

    end

    关于$readmemh的用法可以参见Verilog的参考书,这里就不详细说了。

后面就可以用data_mem作为你的测试数据了。例如可以通过以下代码,将data_mem的数据送给data_out:

always @(posedge clk)

begin

    if(rst)

        begin

        data_out <= 8'd0;

         i <= 8'd0;

       end

    else

        begin

        data_out <= data_mem[i]; //将存储器中的数据输出

        i <= i + 8'd1;

        end

end

    这样利用data_out就可以输出一个正弦波波形,下图是Molesim仿真产生的正弦波波形:

    2. Matlab对Modelsim仿真生成的数据进行分析

        Matlab对Modelsim仿真生成数据的处理也是通过文件读写实现的。即通过Verilog语句,将仿真过程中的某个信号写入文件,然后在Matlab中在把这个文件的数据读出来,就可以在Matlab中进行分析了。

    下图也通过一个简单的例子,说明一下整个过程。

    以下的Verilog语句实现将信号data_out的数据写入data_out.txt文件

    integer w_file;

    initial w_file = $fopen("data_out.txt");

    always @(i)

    begin

        $fdisplay(w_file,"%h",data_out);

        if(i == 8'd255)    //共写入256个数据

            $stop;

    end

    下图是截取的data_out.txt的部分内容:



    然后就可以编一小段Matlab的程序将data_out.txt中的数据读取进行分析了。下面一段Matlab的程序是将数据读取,并通过图形显示出数据的波形。

    fid = fopen('data_out.txt','r');

    for i = 1 : 256;

        num(i) = fscanf(fid, '%x', 1);    %这句话的意思是从fid所指的文件以16进制方式读出一个数据。

    end

    fclose(fid);

    plot(num);

    当利用fscanf函数时要注意两点,

    第一:保证读取的数据格式和文件中保存的数据格式是相同的,例如这里文件中保存的格式是十六进制,所以读取的时候也应该以十六进制的形式读出。

    第二:要保证文件中数据的个数和设定的读取的数目(这里是256)保持一致。例如,要将生成文件data_out.txt中多余的换行符去掉(一般最后会多出一行),否则Matlab会将空的行也当做一个数据,从而两个数目不一致,导致Matlab报错。

    下图是Matlab将data_out.txt中的数据读出,并显示出的波形:



当然,有了Matlab这个强大的工具,也就可以很方便的看信号的频谱等信息了。

    另外在说一点,就是关于通过Verilog将数据写入文件有多种方法,上面用的是$fdisplay这个系统函数,当然还有$fmonitor和$fwrite等几个命令,下面简单说一下这几个命令的不同。

$fdisplay
这个命令需要有触发条件,才会把数据写入文件,例如,上例的触发条件就是always(i),当i变化的时候才写入。每写入一次数据会自动增加一个换行符。

$fmonitor
这个命令不需要触发条件,只要有变化就可以将数据写入文件。例如可以通过以下语句:

initial $fmonitor(w_file,"%h",data_out);

这样可以将整个仿真过程产生的data_out数据都写入文件中。

$fwrite
这个命令和$fdisplay基本相同,也是需要触发条件才会写入,不同的是每写入一个数据不会自动添加换行符。例如可以通过以下语句:

always @(posedge clk)

begin

    $fwrite(w_file,"%h\n",data_out);

end

    关于这几个命令的详细介绍,大家可以参考Verilog的相关数据。

        简单总结一下上面用到的几个函数:

关于Matlab的函数有:fopen, fscanf,fclose。
关于Modelsim的函数有:$fopen, $fclose,$readmemh,$readmemb,$fmonitor,$fdisplay,$fwrite。
[] [返回上一页] [打 印]
上一篇文章:matlab中函数fscanf在根据大小放置文件方面的实例
下一篇文章:matlab中function函数定义变量的使用方法
文章评论 (评论内容只代表网友观点,与本站立场无关!)
发表于 2011-6-25 21:22:41 | 显示全部楼层
very good
thanks
发表于 2012-3-27 20:41:22 | 显示全部楼层
谢谢楼主!
发表于 2012-8-22 23:53:59 | 显示全部楼层
谢谢楼主!
发表于 2012-8-23 10:02:28 | 显示全部楼层
頂一下!感謝無私分享!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 14:32 , Processed in 0.037693 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表