在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 12046|回复: 64

synplify_vC-2009.06-SP1 for Linux 破解【已验证可用,本人正在使用】

 关闭 [复制链接]
发表于 2010-6-3 11:46:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
synplify_vC-2009.06-SP1 for Linux 破解【已验证可用,本人正在使用】

里面有说明

本人正在使用,挺好的。欢迎下载。

synpatch.rar

6.94 KB, 下载次数: 272 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2010-6-3 14:05:37 | 显示全部楼层
D-2009.12 linux 版可以試一下嗎?
发表于 2010-6-3 14:08:15 | 显示全部楼层
我會發生下列錯誤

FPGA/D-2009.12/linux/mbin/synplify: undefined symbol: _ZN9QListData7detach2Ev
发表于 2010-6-3 16:17:04 | 显示全部楼层
谢谢,很好用
发表于 2010-6-3 16:52:00 | 显示全部楼层
感謝分享!!!!
发表于 2010-6-3 22:49:58 | 显示全部楼层
thank you
发表于 2010-6-4 10:49:03 | 显示全部楼层
Thanks a lot !!!!!!!!
发表于 2010-6-4 11:37:08 | 显示全部楼层
thanks for shring
发表于 2010-6-4 19:36:30 | 显示全部楼层
where is the install package for synplify_vC-2009.06-SP1
发表于 2010-6-4 23:11:53 | 显示全部楼层
1# lihaiz

linux的真好
希望也支援多核
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 22:30 , Processed in 0.038922 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表