在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3708|回复: 9

[求助] ISE调用modelsim进行前仿真的问题

[复制链接]
发表于 2010-5-13 12:33:40 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
ISE调用modelsim进行前仿真时的出现以前错误,代码中调用了fifo的IP核。期待高手解答,不胜感激
# Loading work.tt
# Loading work.test_FIFO
# Loading work.test_FIFO_IP
# ** Error: (vsim-3033) ../scr/test_FIFO_IP.v(157): Instantiation of 'FIFO_GENERATOR_V4_4' failed. The design unit was not found.
#         Region: /tt/uut/test_FIFO_IP
#         Searched libraries:
#             C:\Modeltech_xe_starter\xilinx\verilog\xilinxcorelib_ver
#             C:\Modeltech_xe_starter\xilinx\verilog\unisims_ver
#             C:\Modeltech_xe_starter\xilinx\verilog\unimacro_ver
#             E:\test_FIFO_IP_1\test\work
# Loading work.glbl
# Error loading design
# Error: Error loading design
#        Pausing macro execution
# MACRO ./tt.fdo PAUSED at line 9
发表于 2010-5-13 20:38:47 | 显示全部楼层
在simulation时vsim work.(name)_tb.v  work.glbl.v
两个一起仿真
发表于 2010-5-13 21:08:31 | 显示全部楼层
应该是库没有编译好吧
发表于 2010-5-13 22:04:06 | 显示全部楼层
应该是库没有编译好吧
发表于 2010-5-17 17:39:50 | 显示全部楼层
没有把库加载好
发表于 2010-5-19 18:35:00 | 显示全部楼层
呵呵,是库文件没编译,以下是我的解决办法  点到仿真模式,在source里面选中你建立工程选择的芯片,然后看Processes,点开,有个compile HDL simulation library,运行一下就OK了”
发表于 2010-5-20 20:41:54 | 显示全部楼层
学习   啊
发表于 2010-5-20 21:00:27 | 显示全部楼层
要是调用IPCore,一定要先编译库,要是代码简单且全为行为描述,就可以不用编译库了。
发表于 2010-6-10 22:48:57 | 显示全部楼层
Xilinx的库没编译过去
\Xinlinx\ISE\bin\ntcompxlib.exe
发表于 2010-6-11 17:30:33 | 显示全部楼层
学习学习
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-7-1 12:13 , Processed in 0.088156 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表