在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3243|回复: 12

[求助] 这个错误怎么解决

[复制链接]
发表于 2010-4-21 11:09:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
ERROR:NgdBuild:604 - logical block 'chipscope_ila_0/chipscope_ila_0/i_chipscope_ila_0' with type 'chipscope_ila_0' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, or the misspelling of a type name. Symbol 'chipscope_ila_0' is not supported in target 'spartan3a'.
发表于 2010-4-21 11:14:49 | 显示全部楼层
'chipscope_ila_0' 多数是信号名字搞错了吧
发表于 2010-4-21 11:18:07 | 显示全部楼层
信号名字是错的
发表于 2010-4-21 11:39:07 | 显示全部楼层
谢谢楼主分享
 楼主| 发表于 2010-4-21 12:08:49 | 显示全部楼层
请问怎么改,在哪里改?请说详细点
发表于 2010-4-21 12:27:24 | 显示全部楼层
谢谢楼主分享
发表于 2010-4-21 12:28:35 | 显示全部楼层
谢谢楼主分享
发表于 2010-4-21 12:34:09 | 显示全部楼层
谢谢楼主分享
 楼主| 发表于 2010-5-19 12:29:13 | 显示全部楼层
已解决
发表于 2010-5-29 20:08:04 | 显示全部楼层
请问楼主,如何解决的,急盼,谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 12:12 , Processed in 0.042630 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表