在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 19860|回复: 14

[原创] VCS DUMP FSDB Waveform

[复制链接]
发表于 2010-4-14 00:04:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
VCS如何DUMP FSDB波形文件?
需同时安装Novas Verdi/Debussy软体,
于.cshrc(我使用的是tcsh)中设定$VERDI_HOME或者NOVAS_HOME环境变量, 如:
setenv $VERDI_HOME /usr/Novas/Verdi-2009.04/
然后再vcs编译命令行上加fsdb选项, 如:
vcs -fsdb ……
如此, 在testbench中, 便可使用$fsdbDumpfile, $fsdbDumpvars来生成fsdb波形文件。
发表于 2010-4-14 10:24:53 | 显示全部楼层
谢谢分享!
发表于 2010-4-30 17:23:12 | 显示全部楼层
NHMUD-JF8HZ-XP5EX-D3X7Q
发表于 2010-5-1 15:05:27 | 显示全部楼层
建议LZ看看Verdi安装目录下DOC文件夹的说明,其中有一个文档是专门说这个的,看完该文档,你的问题就迎刃而解了
发表于 2010-10-20 00:56:43 | 显示全部楼层
学习了啊!
发表于 2010-10-20 07:57:24 | 显示全部楼层
thx....
发表于 2011-3-27 13:40:55 | 显示全部楼层
学习了,
发表于 2012-9-27 14:54:48 | 显示全部楼层
$fsdbDumpfile函数不被识别。。。。。。
发表于 2014-3-8 17:10:36 | 显示全部楼层
回复 8# DZ小亮
我也是这个情况  只有fsdbDumpfile这个系统命令不识别  你是怎么处理的?




  1. Undefined System Task call to '$fsdpDumpfile'.


复制代码
发表于 2014-4-7 08:49:53 | 显示全部楼层
印象中vcs的参数还要加两个verdi的pli库
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 07:30 , Processed in 0.021821 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表