在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7988|回复: 12

[求助] help help help hspiceD in IC5141

[复制链接]
发表于 2010-4-7 15:12:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在ADE中已能使用hspiceD 仿真反相器

但是,我在下面图-1中 的VI V2中说有什么vo设置,不能创建网表,请问该怎么设置呢?

还是V1 V2不是像我那样子加的

还有hspicerf仿真器如何添加到ADE的Tools中,在手册上看到有。



PS:以前没使用过hspice

谢谢

V1 V2 是差分小信号

V1 V2 是差分小信号

V1 V2 是差分小信号

V1 V2 是差分小信号

V1 V2 的设置

V1 V2 的设置

ADE

ADE

手册中tools菜单有hspicerf

手册中tools菜单有hspicerf
图-6手册上TOOLS里面的hspicerf.JPG
 楼主| 发表于 2010-4-7 15:16:22 | 显示全部楼层
图-4 的文字是

\r t
\a sevNetlistFile('sevSession1 'create)
\o generate netlist...
\o Begin Incremental Netlisting Apr  7 14:39:59 2010
\o WARNING: 'simTermNamePrefix' is not used currently. It had a value of '_term' last time netlisting was done in this current run directory. Re-netlisting the entire design.
\o Netlist Error: The V2 instance has a missing parameter vo.
\o
\o Netlist Error: The V1 instance has a missing parameter vo.
\o
\o End netlisting Apr  7 14:40:00 2010
\o ERROR (OSSHNL): Error(s) found during netlisting. The netlist may be corrupt
\o  or may not be produced at all.
\o To generate correct netlist, fix the errors and re-netlist.
\o       ...unsuccessful.
\r nil
\a hiResizeWindow(window(1) list(56:185 781:346))
\r t
 楼主| 发表于 2010-4-7 16:05:15 | 显示全部楼层
去掉 V1 V2 能仿真   V1 V2该怎么设置呢  高手指点一下
 楼主| 发表于 2010-4-14 16:49:04 | 显示全部楼层
顶一下
发表于 2010-4-21 17:52:40 | 显示全部楼层
用vcvs 來產生差分信號試試.......取代v1, v2
发表于 2010-4-21 20:08:14 | 显示全部楼层
好像由本何乐年写的介绍仿真的书里有写
发表于 2010-9-29 13:58:56 | 显示全部楼层
我也是这个问题呢。。。。好像是model 的问题,之前一直用spetre 仿的时候是好的来。。。。
发表于 2010-9-29 14:19:42 | 显示全部楼层
vo是那个offset voltage吧?
发表于 2013-9-13 11:42:05 | 显示全部楼层
v1 v2 前几个没用的参数 都写成0
发表于 2014-1-13 09:45:23 | 显示全部楼层
请问你是如何解决的,请附上操作步骤
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 16:27 , Processed in 0.043671 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表