在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5450|回复: 10

[求助] 求助:simulink和modelsim联合仿真的问题

[复制链接]
发表于 2010-3-22 20:24:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人在学习link for modelsim进行联合仿真,附件是文档。我想知道modelsim中建立的模块是如何在simulink中进行调用的。也就是说,我在modelsim中建立的模块inverter如何在simulink中调用呢?
 楼主| 发表于 2010-3-22 20:34:03 | 显示全部楼层
这里是附件。谢谢啊。

matlab和modelsim联合仿真.rar

232.1 KB, 下载次数: 62 , 下载积分: 资产 -2 信元, 下载支出 2 信元

 楼主| 发表于 2010-3-23 13:59:56 | 显示全部楼层
自己顶一下,希望这方面得高手,给点指导,谢谢
发表于 2010-3-24 09:32:12 | 显示全部楼层
这个比较烦,有好多步骤,网上有这个的说明,你搜一下
 楼主| 发表于 2010-3-24 10:44:45 | 显示全部楼层
楼上的可以说的详细点吗?有没有相关资料啊?本人实在是束手无策了
发表于 2010-4-21 13:25:47 | 显示全部楼层
貌似不太懂啊!
发表于 2012-4-3 11:00:11 | 显示全部楼层
学习了
发表于 2012-5-20 00:00:16 | 显示全部楼层
simulink中有一个专门的模块 你搜一下关键词HDL就找到了 再设置一下里面的参数 这个比较麻烦就不细说了 有很多书都讲怎么设置参数了 自己看吧
发表于 2013-2-26 21:59:25 | 显示全部楼层
duoxie
发表于 2015-8-31 18:26:27 | 显示全部楼层
好东西啊!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 17:54 , Processed in 0.038483 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表