在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: interpolmoon

[资料] 相当经典的设计方法Verilog实现数据排序的代码

[复制链接]
发表于 2013-8-28 08:35:10 | 显示全部楼层
不知道该说啥好了
发表于 2013-9-1 20:52:06 | 显示全部楼层
看看,感谢共享啊
发表于 2017-3-18 15:16:48 | 显示全部楼层
十分感谢呀,看看
发表于 2017-3-18 19:35:59 | 显示全部楼层
xuexi
发表于 2017-3-18 22:08:03 | 显示全部楼层
很好啊,谢谢分享
发表于 2017-3-19 10:15:31 | 显示全部楼层
学习中谢谢
发表于 2017-3-21 20:08:41 | 显示全部楼层
eetop.cn_sorting_order
发表于 2022-6-15 15:52:09 | 显示全部楼层
垃圾,拿这来骗分来了。
发表于 2022-6-16 11:33:00 | 显示全部楼层
谢谢分享
发表于 2023-8-22 14:04:42 | 显示全部楼层
感觉通过task和always for的方式实现一个排序电路不利于ECO和优化,且纯组合逻辑会占用过多的资源,并不是一个很好的实现
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 05:41 , Processed in 0.026267 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表