在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: SamsungMonitor

IC6141

 关闭 [复制链接]
发表于 2010-1-11 08:57:59 | 显示全部楼层


if your linux version is RHEL 4 ( i.e. kernel 2.6 v.s. kenerl 2.4), just do not waste your time downloading 6.13 version, the ADE simulation with spectre would hang anywayz ( tried with different patc ...
lam2 发表于 2010-1-11 08:25



I m using Ubuntu 9.04 i installed IC6.14 and patched but during the patching i ve got many errors and warning even that i ve been able to launch it

but the main problem that i got with ADE in IC610 is the same with th new verison; when i start a simulation i got this message :

ADEXL-1921: failed to start new job after 12 attempts. Possible reasons could be
1. The machine selected in the current Job Setup Policy is not reachable.
2. The Cadence hierarchy is not  detected, not installed properly or not compatible on the machine selected in the current Job Setup Policy.
3. Job Start script ..../runICRP11 is not found on the remote machine(s).


i m just simulating an invertor to see if ADE works well but no way. i ve been lookin for a solution for hours ,no result, BTW i m using MMSIM61 ive also MMSIM installed but i don t have the license i m not using it


could tell what should i do , help please
thanks in advance
发表于 2010-1-11 09:20:18 | 显示全部楼层
Thanks~~~~~
发表于 2010-1-11 09:31:24 | 显示全部楼层
Thanks~~~~~~
 楼主| 发表于 2010-1-11 10:19:44 | 显示全部楼层
For those who finish download all the 6 CDs.
Please help clarify and feedback whether the attachments are OK or not.
Because I face some minor problems during I upload them.
Thanks...
发表于 2010-1-11 15:16:21 | 显示全部楼层
本帖最后由 greensigma 于 2010-1-11 15:17 编辑

201# theshadowx


Those who meet ADEXL-1921 problem can try a solution here: http://newsgroups.derkeiler.com/ ... 09-08/msg00174.html

The below is its content:
ADE XL works by running background virtuoso processes to take care of netlisting
and simulation management, as well as results calculation. This is mainly
helpful when you are running multiple jobs (particularly through a queueing
system) as it then means you can distribute all parts of the job - not just the
simulation.

You might want to look at my sourcelink solution 11495216 which gives advice on
improving the startup time; in your case it has timed out because it was so slow
(most likely).

First you might want to start by putting:

adexl.icrpStartup binaryName string "virtuoso -nocdsinit"

into your ~/.cdsenv file. Alternatively in the CIW (before starting ADE XL), do:

envSetVal("adexl.icrpStartup" "binaryName" 'string "virtuoso -nocdsinit")

(you could also put this in your .cdsinit)
发表于 2010-1-11 16:21:32 | 显示全部楼层
存支持了。。。。。。。偶的天
发表于 2010-1-11 21:18:53 | 显示全部楼层
OK,所有的包都能正常解压
发表于 2010-1-11 23:02:25 | 显示全部楼层
To LZ
The pacakges are 100% OK.  Don't worry
To shadowx
maybe it's a myth.  Maybe it's just me.   But I'd suggest you to install also MMSIM72 from SamsungMonitor.  The only difference I found is RHEL3 vs. RHEL4 packages...  Please give this a try.  I'd take a look at the .cdsinit files to see if I can get any clue ( when I start simulate, and saw my ADE hangs, I've run xkill to kill it, so never waited for 5 mins )
发表于 2010-1-12 02:39:55 | 显示全部楼层
unfortunately i don t have RHEL to try in it, and i have just ubuntu 9.04
if someone could give me a link to download it  (as rapidshare or megaupload )

in ubuntu when cracking,  first of all it take a lot of time  more than 1 hour and then i got errors telling me it didn t be able to write or read and more  even i used sudo
发表于 2010-1-12 02:43:39 | 显示全部楼层
here what i got when i put in bash
$ virtuoso

COPYRIGHT © 1992-2009  CADENCE DESIGN SYSTEMS INC.  ALL RIGHTS RESERVED.
          © 1992-2009  UNIX SYSTEMS Laboratories INC.,
                         Reproduced with permission.

This Cadence Design Systems program and online documentation are
proprietary/confidential information and may be disclosed/used only
as authorized in a license agreement controlling such use and disclosure.

          RESTRICTED RIGHTS NOTICE (SHORT FORM)
Use/reproduction/disclosure is subject to restriction
set forth at FAR 1252.227-19 or its equivalent.
Program:                @(#)$CDS: virtuoso version 6.1.4 11/17/2009 21:10 (sjfnl007) $
Sub version:                sub-version  IC6.1.4.485  (32-bit addresses)
*WARNING* envSetVal: Can't set the value of variable 'objectInfoFontSize',
        in tool[.partition] 'graphic' - it has not been registered.
*Error* print: User interrupt
*WARNING* envSetVal: Can't set the value of variable 'checkTimeStamps',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'ignoredParams',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'ignoreParamsForCAS',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'checkOldIgnoredParamsProps',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'ignoreNames',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'dlrNetConstNetClass',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'dlrNetConstNetWeight',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'dlrRoutingBoundaryOption',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'incNetCycleHilite',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'initBoundaryLayer',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'initIOPinName',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'lxDoNotCheck',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'pathLayerFilter',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'pathPurposeList',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'pathSwitchPurpose',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'showIncNetEnable',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'switchLayerDuringCreate',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'templateFileName',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'vxlDebugLog',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'vxlDebugLogFileName',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'compTypeRefLibs',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'traverseMixedHierarchies',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'sfactorParam',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'mfactorSplitParamNames',
        in tool[.partition] 'layoutXL' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'deleteUnmatchedInsts',
        in tool[.partition] 'adle' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'deleteUnmatchedPins',
        in tool[.partition] 'adle' - it has not been registered.
*WARNING* envSetVal: Can't set the value of variable 'dragDisplayHint',
        in tool[.partition] 'ui' - it has not been registered.
Loading geView.cxt
Loading menuBuilder.cxt
Loading schView.cxt
Loading selectSv.cxt
Loading xlUI.cxt
*Error* eval: undefined function - ivLoadAvViewSO
*Error* eval: undefined function - ivLoadImagicSO
*Error* eval: undefined function - _ivPprInit
Loading LVS.cxt
Loading layerProc.cxt
*WARNING* envGetVal: Could not find variable 'method'
        in tool[.partition] 'Diva.DRC'.
*Error* hiCreateRadioField: argument for keyword ?value should be a string (type template = "stlgtttlglxg") - nil
Loading auCore.cxt
Loading vhdl.cxt
Loading seismic.cxt
Loading ci.cxt
Loading ams.cxt
Virtuoso Framework License (111) was checked out successfully. Total checkout time was 0.04s.
Loading rte.cxt
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-30 14:08 , Processed in 0.021412 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表