在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 80871|回复: 572

[资料] 模拟集成电路前端设计实战培训课件

[复制链接]
发表于 2009-12-30 20:32:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
课程内容
􀂄 0 1 模拟电路设计基础
􀂄 0 2 放大器电路设计
􀂄 0 3 AD/DA电路设计
􀂄 0 4 版图与测试
􀂄 0 5 专题制作
􀂄 0 6 讲座与通识课程
􀂄 EDA工具综合使用

0 1 模拟电路设计基础
􀂄 1. 模拟电路基础
􀂄 2. 工艺与器件模型
􀂄 3. 电流镜电路设计
􀂄 4. 参考源电路设计
􀂄 5. 模拟电路的噪声模型与分析
􀂄 6. 开关电容电路
􀂄 7. Unix/Linux操作系统及命令
􀂄 8. verilog-A硬件描述语言
􀂄 9. EDA工具安装、调试及基本使用方法
0 2 放大器电路设计
􀂄 1. 基本的放大器电路
􀂄 2. 运放的反馈设计
􀂄 3. 运放的稳定性与频率补偿
􀂄 4. 运算放大器设计
􀂄 5. 比较器设计
􀂄 6. 典型的设计实例
􀂄 7. EDA工具综合使用
􀂄 8. 电路设计实践和作业
0 3 AD/DA电路设计
􀂄 1. 基本的数据转换电路分析
􀂄 2. AD/DA的设计指标
􀂄 3. 数据转换器模型分析
􀂄 4. 数据转换器SNR分析
􀂄 5. ADC结构分析
􀂄 6. DAC结构分析
􀂄 7. SAR ADC设计实例
􀂄 8. 电路设计实践和作业
0 4 版图与测试
􀂄 1. 模拟电路版图设计
􀂄 2. 基本的PAD和ESD设计
􀂄 3. 基本的测试电路分析
􀂄 4. ADC测试实例分析
0 5 专题制作
􀂄 以10bit 5MHz Pipeline ADC 为例作介绍
􀂄 1. 项目概述和设计流程
􀂄 2. 设计规范
􀂄 3. 任务分配
􀂄 4. 电路设计
􀂄 5. 仿真验证
􀂄 6. 版图设计
􀂄 7. 后仿真
􀂄 8. 项目评审
􀂄 9. 项目总结
􀂄 10.实习使用EDA工具
EDA工具综合使用
􀂄 Cadence模拟前端设计工具Analog Artist
􀂄 Verilog-A辅助设计工具Model-writer
􀂄 Verilog-A调试工具Verilog-A debugging tool
􀂄 Cadence混合信号电路仿真工具AMS
􀂄 Synopsy模拟前端设计工具Hspice
􀂄 后端工具Diva、Assure

模拟集成电路前端设计实战培训课件.part1.rar

4.69 MB, 下载次数: 4702 , 下载积分: 资产 -3 信元, 下载支出 3 信元

模拟集成电路前端设计实战培训课件.part2.rar

4.69 MB, 下载次数: 4112 , 下载积分: 资产 -3 信元, 下载支出 3 信元

模拟集成电路前端设计实战培训课件.part3.rar

4.69 MB, 下载次数: 3901 , 下载积分: 资产 -3 信元, 下载支出 3 信元

模拟集成电路前端设计实战培训课件.part4.rar

4.69 MB, 下载次数: 3870 , 下载积分: 资产 -3 信元, 下载支出 3 信元

模拟集成电路前端设计实战培训课件.part5.rar

4.69 MB, 下载次数: 3399 , 下载积分: 资产 -3 信元, 下载支出 3 信元

模拟集成电路前端设计实战培训课件.part6.rar

522.94 KB, 下载次数: 2967 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2009-12-30 21:14:41 | 显示全部楼层
感謝~~~~
发表于 2009-12-30 21:47:10 | 显示全部楼层
感謝~~~~
发表于 2009-12-30 21:48:54 | 显示全部楼层
顶一个
发表于 2009-12-30 21:50:22 | 显示全部楼层
不顶没天理啊.
发表于 2009-12-30 22:19:03 | 显示全部楼层
感谢!!!!!!!!!!
发表于 2009-12-30 22:42:35 | 显示全部楼层
谢谢!~
发表于 2009-12-30 23:59:49 | 显示全部楼层
好东西。谢谢
发表于 2009-12-31 00:09:08 | 显示全部楼层
谢谢了。。。。。。
发表于 2009-12-31 12:23:09 | 显示全部楼层
thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 22:21 , Processed in 0.029588 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表