在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: hiker

vhdl 与verilog互转工具x-hdl

[复制链接]
发表于 2009-5-31 14:16:20 | 显示全部楼层

thanks

Thank you!
发表于 2009-5-31 14:18:09 | 显示全部楼层
Thank you!
发表于 2009-5-31 16:23:46 | 显示全部楼层
thanks a lot!
发表于 2009-6-4 09:56:36 | 显示全部楼层
license还能用吗?
发表于 2009-6-5 14:47:19 | 显示全部楼层

好好

好东西
发表于 2009-6-5 14:48:35 | 显示全部楼层

好好

好东西
发表于 2009-6-5 14:50:29 | 显示全部楼层

好东西

好东西
发表于 2009-6-8 01:31:38 | 显示全部楼层
看看楼主发的是否好用。
发表于 2009-6-8 05:45:15 | 显示全部楼层
发表于 2009-6-8 05:47:27 | 显示全部楼层

wwwwwwwwwww



原帖由 hiker 于 2006-6-27 09:17 发表
有需要的嘛,三部分!!

000000000000000
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-7-6 03:23 , Processed in 0.088024 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表