在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4765|回复: 7

求助!verilog 数据存储和ram操作

[复制链接]
发表于 2009-7-7 15:56:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用veriolg HDL,将输入数据按大小存储到一个存储器中,比如0<=data<1,存到ram1,···············4094<=data<4095存到ram4095;怎么才能把过程简化,data是12位的,哪位大哥能帮帮忙吗?给点建议。谢谢谢谢············
头像被屏蔽
发表于 2009-7-7 18:30:54 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽
发表于 2009-7-9 15:52:58 | 显示全部楼层
这不用存呀,不就是固定地址写固定数么。

如果你非要存可以用输入数据当地址(按照你写的关系要加1)然后将数据存进去。但是由于地址和数据有确定的关系,所以其实不用存呀。搞个ROM不就可以了
发表于 2009-7-9 16:19:47 | 显示全部楼层
我也很想知道答案!!!
发表于 2009-7-9 19:40:12 | 显示全部楼层
我也想啊,答案呢
发表于 2009-7-9 22:43:12 | 显示全部楼层
没明白什么意思
发表于 2009-7-10 09:19:50 | 显示全部楼层
你的数据和地址是一样的啊!为什么要存在RAM中?
发表于 2009-7-10 09:30:45 | 显示全部楼层
你的问题本来就不是很明确,0<=data[0],这好像不符合语法。如果我的理解不错,你是想写成这样:data<i> <= x;其中i是reg型,x是你需要保存的数据。这样是可以综合的。

[ 本帖最后由 sdwsh 于 2009-7-10 09:32 编辑 ]
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-29 10:24 , Processed in 0.020796 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表