在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 36849|回复: 173

Quartus II 中文使用说明

[复制链接]
发表于 2009-4-19 13:15:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
前言................................................................................................................................6
文档编制约定...................................................................................................................7
第1 章: 设计流程.............................................................................................................9
引言................................................................................................................... 10
图形用户界面设计流程........................................................................................ 11
EDA 工具设计流程............................................................................................. 17
命令行设计流程.................................................................................................22
命令行可执行文件.................................................................................23
使用标准命令行命令和脚本...................................................................27
使用Tcl 命令.........................................................................................30
建立Makefile 脚本................................................................................33
设计方法和设计规划..........................................................................................35
自上而下与自下而上的设计方法比较.....................................................35
自上而下渐进式编译设计流程...............................................................36
自下而上的渐进式编译流程...................................................................37
第2 章: 设计输入..........................................................................................................38
引言...................................................................................................................39
建立工程............................................................................................................40
使用修订............................................................................................... 41
使用版本兼容的数据库..........................................................................44
转换MAX+PLUS II 工程........................................................................45
建立设计............................................................................................................46
使用Quartus II 模块编辑器....................................................................47
使用Quartus II 文本编辑器....................................................................48
使用Quartus II 符号编辑器....................................................................48
使用verilog hdl、VHDL 以及AHDL....................................................49
使用Altera 宏功能.............................................................................................50
使用知识产权(IP) 宏功能...................................................................... 51
使用MegaWizard 插件管理器...............................................................52
在Quartus II 软件中例化宏功能.............................................................53
在Verilog HDL 和VHDL 中例化...............................................53
使用端口和参数定义.................................................................53
推断宏功能...............................................................................53
在EDA 工具中例化宏功能.....................................................................54
使用Black-Box 方法..................................................................54
按推断进行例化.....................................................................................54
使用Clear-Box 方法..............................................................................55
第3 章: 约束输入..........................................................................................................58
引言...................................................................................................................59
使用分配编辑器.................................................................................................60
使用引脚规划器................................................................................................. 61
Settings 对话框...................................................................................................63
分配设计分区.....................................................................................................64
在Project Navigator 中分配设计分区....................................................64
采用Design Partitions 窗口分配设计分区.............................................. 64
导入分配........................................................................................................... 66
验证引脚分配.................................................................................................... 67
第4 章: 综合................................................................................................................ 69
引言.................................................................................................................. 70
使用Quartus II Verilog HDL 和VHDL 集成综合.................................................. 71
使用其他EDA 综合工具..................................................................................... 73
控制Analysis & Synthesis ................................................................................... 76
使用Compiler 指令和属性.................................................................... 76
使用Quartus II 逻辑选项....................................................................... 77
使用Quartus II 综合网表优化选项........................................................ 79
使用设计助手检查设计可靠性........................................................................... 79
使用RTL 查看器和状态机查看器分析综合结果................................................... 81
RTL 查看器............................................................................................ 81
状态机查看器....................................................................................... 83
采用技术映射查看器分析综合结果.................................................................... 85
进行渐进式综合................................................................................................ 87
第5 章: 布局布线......................................................................................................... 90
引言................................................................................................................... 91
进行完整的渐进式编译...................................................................................... 92
分析适配结果.................................................................................................... 93
使用Messages 窗口查看适配结果.......................................................... 94
使用Report 窗口或Report 文件查看适配结果...................................... 95
使用时序逼近平面布局图来分析结果.................................................... 97
使用设计助手检查设计的可靠性........................................................... 99
优化适配........................................................................................................... 99
使用位置分配....................................................................................... 99
设置用于控制布局布线的选项.............................................................. 100
设置Fitter 选项....................................................................... 100
设置物理综合优化选项............................................................ 100
设置影响适配的每个逻辑选项................................................. 101
使用资源优化向导............................................................................... 101
使用设计空间管理器............................................................................ 104
通过反标保留分配............................................................................................ 108
第6 章: 基于模块的设计...............................................................................................112
引言..................................................................................................................113
Quartus II 基于模块的设计流程..........................................................................113
使用LogicLock 区域..........................................................................................114
在自上而下渐进式编译流程中使用LogicLock 区域.............................................117
自下而上设计流程中导入导出分区....................................................................118
为自下而上的渐进式编译方法准备顶层设计..........................................118
导出分区,在顶层工程中使用...............................................................119
将底层分区导入到顶层工程中...............................................................119
第7 章: 仿真............................................................................................................... 120
引言.................................................................................................................. 121
使用EDA 工具进行设计仿真............................................................................ 122
进行EDA 仿真工具设置....................................................................... 123
生成仿真输出文件............................................................................... 124
EDA 仿真流程..................................................................................... 125
EDA 工具功能仿真流程........................................................... 125
NativeLink 仿真流程............................................................... 125
手动时序仿真流程.................................................................. 126
仿真库.................................................................................... 126
使用Quartus II 仿真器进行仿真设计................................................................ 128
建立波形文件...................................................................................... 130
使用仿真器工具.................................................................................... 131
第8 章: 时序分析........................................................................................................ 132
引言................................................................................................................. 133
选择TimeQuest 或者标准时序分析器............................................................... 133
TimeQuest 时序分析........................................................................................ 134
运行TimeQuest 时序分析器................................................................ 134
使用TimeQuest GUI ............................................................... 134
Tasks 界面............................................................................... 135
Console 界面.......................................................................... 135
Report 界面............................................................................ 136
View 界面............................................................................... 136
标准时序分析器 .................................................................................. 136
指定标准时序要求............................................................................... 136
进行工程全局范围的时序设置................................................. 138
进行个别时序分配.................................................................. 139
进行标准时序分析............................................................................... 140
进行早期时序估算............................................................................... 142
标准时序分析报告............................................................................... 143
进行分配和查看延时路径.................................................................... 145
使用技术映射查看器查看时序延时...................................................... 147
使用EDA 工具进行时序分析............................................................................ 148
使用PrimeTime 软件........................................................................... 150
使用Tau 软件...................................................................................... 150
第9 章: 时序逼进........................................................................................................ 153
引言................................................................................................................. 154
使用时序逼进平面布局图................................................................................. 154
查看分配与布线................................................................................... 155
进行分配............................................................................................. 156
使用时序优化向导............................................................................................ 157
使用网表优化实现时序逼近.............................................................................. 158
使用LogicLock 区域达到时序逼近.................................................................... 160
软LogicLock 区域................................................................................. 161
基于路径的分配.................................................................................... 161
目录
4 ■ QUARTUS II 简介ALTERA 公司
使用设计空间管理器达到时序逼近................................................................... 162
使用渐进式编译达到时序逼近.......................................................................... 163
第10 章: 功耗分析....................................................................................................... 165
引言................................................................................................................. 166
使用PowerPlay 功耗分析器分析功耗............................................................... 166
设置功耗分析器选项........................................................................................ 168
使用PowerPlay 早期功耗估算器...................................................................... 170
第11 章: 编程和配置.................................................................................................... 172
引言................................................................................................................. 173
使用Programmer 对一个或多个器件编程......................................................... 177
建立辅助编程文件............................................................................................ 178
建立其它编程文件格式........................................................................ 178
转换编程文件...................................................................................... 180
Quartus II 通过远程JTAG 服务器进行编程....................................................... 183
第12 章: 调试.............................................................................................................. 186
引言................................................................................................................. 187
使用SignalTap II 逻辑分析器............................................................................ 188
设置和运行SignalTap II Logic Analyzer ................................................ 188
渐进式编译使用SignalTap II 逻辑分析器.............................................. 192
分析SignalTap II 数据.......................................................................... 193
使用外部逻辑分析仪........................................................................................ 195
使用SignalProbe .............................................................................................. 197
使用 在系统存储器内容编辑器.......................................................................... 199
使用RTL 查看器和技术映射查看器.................................................................. 200
使用芯片编辑器............................................................................................... 201
第13 章: 工程更改管理............................................................................................... 203
引言................................................................................................................ 204
使用芯片编辑器确定延时和关键路径............................................................... 205
在芯片编辑器中编辑基元................................................................................ 206
使用资源属性编辑器修改资源属性.................................................................. 207
使用更改管理器查看和管理更改...................................................................... 209
验证ECO 更改的效果....................................................................................... 210
第14 章: 形式验证........................................................................................................211
引言................................................................................................................. 212
使用EDA 形式验证工具.................................................................................... 213
进行其他设置................................................................................................... 215
第15 章: 系统级设计................................................................................................... 217
引言................................................................................................................. 218
使用SOPC Builder 建立SOPC 设计................................................................. 220
建立系统............................................................................................ 220
生成系统............................................................................................. 221
使用dsp Builder 建立DSP 设计....................................................................... 222
例化功能............................................................................................ 222
生成仿真文件..................................................................................... 222
目录
ALTERA 公司QUARTUS II 简介■ 5
生成综合文件......................................................................................223
第16 章: 安装、许可和技术支持..................................................................................225
安装Quartus II 软件.........................................................................................226
许可Quartus II 软件.........................................................................................226
获取技术支持...................................................................................................228
第17 章: 文档和其他资源............................................................................................ 231
获取在线帮助...................................................................................................232
使用Quartus II在线教程..................................................................................233
其他Quartus II 软件文档..................................................................................234
其他Altera 文献...............................................................................................235
索引.............................................................................................................................237

QUARTUSII 6.0中文使用说明.pdf (2.63 MB, 下载次数: 2185 )
发表于 2009-4-19 18:08:24 | 显示全部楼层
下过了!
发表于 2009-4-19 20:19:23 | 显示全部楼层

好东西

太好了,谢谢共享
发表于 2009-4-22 17:47:13 | 显示全部楼层
谢谢了
发表于 2009-4-26 14:31:54 | 显示全部楼层
下来看看,顶楼主
发表于 2009-4-26 14:33:03 | 显示全部楼层
再顶一次
发表于 2009-4-26 15:11:04 | 显示全部楼层
谢谢了,看看后面的部分
发表于 2009-4-26 16:52:39 | 显示全部楼层
谢谢啦!收藏了!
发表于 2009-5-11 23:23:58 | 显示全部楼层

正需要

非常需要。
发表于 2009-5-13 11:04:22 | 显示全部楼层
好东西,非常全面的手册型资料。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 16:02 , Processed in 0.055542 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表