在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3298|回复: 1

请问ISE 仿真的问题(因FPGA栏不能发贴,麻烦版主挪一下)

[复制链接]
发表于 2009-1-6 22:41:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问一下为什么我在ISE9.1里面做好了工程,新建了仿真模型,但仿真时出不来波形呢,包括输入激励源也整条线都是“Z”----高阻。如下两图:
谢谢了!

TWB.JPG sim.JPG
 楼主| 发表于 2009-1-7 13:12:10 | 显示全部楼层
已经解决!应当在SOURCE框内选中TWB的文件,而不是选TWB下的V文件,再双击“行为仿真”就行了。初学,别见笑。

TWB.JPG

[ 本帖最后由 zgfszyx 于 2009-1-7 13:14 编辑 ]
TWB.JPG
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-26 12:17 , Processed in 0.020690 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表